한양대 나노 공정 및 소자 연구실 로고

Journals-

HOME > Publication > Journals


Num Title Author Journal Publish date
64 나노스케일 질화규소 멤브레인의 기계적, 열적 특성 분석 장용주, 신현진, 위성주, 김하늘, 이기성, 안진호 대한금속재료학회지 2019.01.09
63 Effects of an In vacancy on local distortion of fast phase transition in Bi-doped In3SbTe2 Minho Choi, Heechae Choi, Seungchul Kim, Jinho Ahn and Yong Tae Kim Journal of the Korean Physical Society 2017.12.29
62 새로운 EUV 흡수체 연구 : 니켈 & 니켈 산화물 우동곤, 김정환, 김정식, 홍성철, 안진호 대한금속재료학회지 2017.03.01
61 극자외선 노광공정에서의 사이드 로브 세기와 포톤 샷 노이즈 효과가 컨택 홀 missing 현상에 미치는 영향 김정식, 홍성철, 장용주, 안진호 대한금속재료학회지 2017.02.02
60 Effect of Side Lobe Intensity and Photon Shot Noise Effect on the Missing Hole Phenomenon in Extreme Ultraviolet Lithography Jung Sik Kim, Seongchul Hong, Yong Ju Jang, and Jinho Ahn Korean Journal of Metals and Materials 2017.02.02
59 EUV 펠리클 투과도에 따른 이미지 전사 특성 분석 우동곤 , 김정환 , 김정식 , 홍성철 , 안진호 반도체디스플레이기술학회지 2016.09.14
58 Optical Proximity Correction using Sub-resolution Assist Feature in Extreme Ultraviolet Lithography Jung Sik Kim, Seongchul Hong, Yong Ju Jang, and Jinho Ahn Journal of the Semiconductor & Display Technology 2016.09.09
57 고 개구수 극자외선 노광 기술용 위상 변위 흡수체 구조의 설계 장용주, 김정식, 홍성철, 안진호 대한금속재료학회지 2016.06.01
56 그림자 효과 완화 및 내화학성 향상을 위한 Ni-Ta 흡수체 연구 우동곤, 홍성철, 김정식, 양철규, 이종화, 신철, 안진호 대한금속재료학회지 2016.05.04
55 6.7nm 리소그래피용 브래그 반사형 거울과 흡수체 물질 연구 정성훈, 홍성철, 김정신, 안진호 대한금속재료학회지 2016.05.01
54 Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography Jinho Ahn, Hyun-Duck Shin, Chang Young Jeong Journal of the semiconductor & Display Technology 2010.12.12
53 Determination of the CD Performance and Carbon Contamination of an EUV Jonggul Doh, Chang Young Jeong, Sangsul Lee, Jae Uk Lee, Han-sun Cha and Jinho Ahn Journal of the Korean Physical Society 2010.12
52 Optical properties of TiO2 zigzag films prepared by oblique angle deposition Yong Jun Park, K.M.A. Sobahan, Chang Kwon Hwangbo, Jinho Ahn Journal of the Korean Physical Society 2010.04
51 Fabrication of two-dimensional periodic structures in silicon by four beam interference lithography G. J. Lee, Y. P. Lee, K. R. Kim, M. I. Jang, J. Ahn, C. Yoon, Y. Son and J. Jang Journal of the Korean Physical Society 2006.12.20
50 Metal precursor effects on deposition and interfacial characteristics of HfO2 dielectrics grown by atomic layer deposition I.-S. Park, T. Lee, D. Choi and J. Ahn Journal of the Korean Physical Society 2006.12
49 Resistive switching characteristics of HfO2 grown by atomic layer deposition K. Kim, I.-S. Park, J. Hong, S. Lee, B. Choi and J. Ahn Journal of the Korean Physical Society 2006.12
48 Study of a thin Al2O3/TaN absorber stack on Ru-capped multilayers Tae Geun Kim, Seung Yoon Lee, Chung Yong Kim, Byung Hun Kim, In-Yong Kang, Nae-Eung Lee, Yong-Chae Chung, In-Sung Park, and Jinho Ahn Journal of the Korean Physical Society 2006.12
47 Electrical and Reliability Characteristics of HfO2 MOS Capacitor with Mo Metal Gate Electrode In-sung Park, Taeho Lee, Han-Kyoung Ko, Jin-Ho Ahn Journal of the Korean Physical Society 2006.12
46 Study on the Characteristics of SiO2 Films Prepared by Hydrogen-assisted Low-pressure Radical Oxidation Using Batch-type Equipment with a 100-wafers of 300-mm-diameter Capability Jae-Jong Han, Kong-Soo Lee, Jung-Geun Jee, Woong Lee, Yong-Woo Hyung, and Hyeon-Deok Lee and Jinho Ahn Journal of the Korean Physical Society 2006.11
45 유연성 유기물 transistor 제작을 위한 고유전 박막위에서의 Pentscene의 특성 이순우, 이상설, 박정호, 박인성, 설영국, 이내응, 안진호 마이크로전자 및 패키징 학회지 2006
44 이원계 SiO2와 TiO2 박막의 저항 변화 특성 박인성, 김경래, 안진호 한국마이크로전자 및 패키징 학회지 2006
43 Aerial image characteristics of a modified absorber model for extreme ultraviolet lithography In-Yong Kang, Jinho Ahn, Yong-Chae Jung and Hye-Keun Oh Journal of the Korean Physical Society 2005.11
42 Defect Characterization of Ru/Mo/Si EUV Reflector by Optical Modeling I.-Y. Kang, J. Ahn, H.-K. Oh and Y.-C. Chung Journal of the Korean Physical Society 2005.11
41 Reduction of the absorber shadow effect by changing the absorber side wall angle in extreme ultraviolet lithography M. Yoo, Y. Jeon, H. Oh and J. Ahn Journal of the Korean Physical Society 2005.04
40 UV 차단 금속막을 이용한 잔류층이 없는 UV 나노 임프린트 패턴 형성 문강훈, 신수범, 박인성, 이헌, 차한선, 안진호 한국마이크로전자 및 패키징학회지 2005
39 Thermal and electrical properties of TaN electrode on HfO2 gate dielectric T. Lee, H. Ko, Y. Kim and J. Ahn Journal of the Korean Physical Society 2004.11
38 Characteristics of Ru barrier layer in Mo/Ru/Si multilayer for EUV reflector applications T. Kim, S. Lee, J. Park and J. Ahn Journal of the Korean Physical Society 2004.11
37 MOCVD를 이용한 HfO2/SiNx 게이트 절연막의 증착 및 물성 이태호, 오재민, 안진호 마이크로전자 및 패키징 학회지 2004
36 Effect of glass-forming elements (Si, Al and Bi) on the characteristics of Zr-based oxide films J. Kim, S. Kong and J. Ahn Journal of the Korean Physical Society 2003.11
35 Structural Analysis of a Mo/Si reflector by optical modeling Kang, C. Chung, Y. Chung, T. Kim, S. Lee and J. Ahn Journal of the Korean Physical Society 2003.11
34 Enhancement of EUV reflective multilayer properties by the insertion of a Ru barrier layer S. Lee, T. Kim and J. Ahn Journal of the Korean Physical Society 2003.02.19
33 Characterization of ultra-thin HfO2 gate oxide prepared by using atomic layer deposition T. Lee, J. Ahn, J. Oh, Y. Kim, Y. Kim, D. Choi and J. Jung Journal of the Korean Physical Society 2003.02
32 Characteristics of Platinum films etched with a SF6/Ar plasma Sang Hoon Kim, Soon Woo Lee, Jaehee Hwang and Jinho Ahn Journal of the Korean Physical Society 2003.01.03
31 Simulation of the optical anomaly in a Mo/Si multilayer system for an EUV reflector I. Kang, Y. Lee, Y. Chung, H. Kim, S. Hur, S. Lee, J. Ahn, C. Yoon, C. Kim Journal of the Korean Physical Society 2002.10
30 Mo/Si multilayer for EUV lithography applications S. Lee, H. Kim, J. Ahn, I. Kang and Y. Chung Journal of the Korean Physical Society 2002.10
29 Study of the Etching Mechanism of Tantalum Thin Films by Argon Actinometry Sang Hoon Kim, Sup Yeol Ju, Kyung Jong Lee and Jinho Ahn Journal of the Korean Physical Society 2002.01
28 Study on the Application of SiCxNy Thin film as a Mask Membrane for LIGA Chang Mo Park, Tae Ho Lee, Jin Nam Cheon, Seung Yoon Lee, Young Do Kim, Dongwook Shin and Jinho Ahn Journal of the Korean Physical Society 2002.01
27 Actinometry study on the role of fluorine in low-k polyimide etching Sang Hoon Kim, Chang Hee Lee, and Jinho Ahn Journal of the Korean Physical Society 2002.01
26 Membrane을 이용한 박막센서 특성 분석 이순우, 김상훈, 안진호 마이크로전자 및 패키징 학회지 2002
25 극자외선 반사를 위한 마스크 및 광학계의 개발 동향 이승윤, 안진호, 손영수 전기의 세계(Proceedings of KIEE) 2002
24 수치적 계산을 이용한 Bragg reflector형 체적 탄성파 공진기의 특성분석 김주형, 이시형, 안진호, 주병권, 이전국 한국세라믹학회지 2001
23 Pt 박막의 SF6/Ar과 Cl2/Ar 플라즈마 가스와의 표면반응에 관한 연구 김상훈, 주섭열, 안진호 한국 마이크로전자 및 패키징 학회지 2001
22 Mo/Si 다층박막의 극자외선 반사도에 대한 전산모사 이영태, 강인용, 정용재, 이승윤, 허성민, 안진호, 복철규 한국 마이크로전자 및 패키징 학회지 2001
21 Mo/Si 다층박막의 특성평가에 관한 연구 허성민, 김형준, 이동현, 이승윤, 이영태, 강인용, 정용재, 안진호 한국 마이크로전자 및 패키징 학회지 2001
20 Deposition of SiCxNy thin film as a membrane application 허승민, 박창모, 안진호 마이크로전자 및 패키징 학회지 2001
19 Comparative Study of Ta and its Compounds for Next Generation Lithography Mask Applications K. Kim, S. Lee, C. Park and J. Ahn Journal of the Korean Physical Society 2000.12
18 Actinometry를 이용한 Ta 미세 패턴 식각특성에 관한 연구 김상훈, 안진호 한국 마이크로전자 및 패키징 학회지 2000
17 비대칭 펄스 직류 반응성 스퍼터링으로 증착된 AlN 박막의 성장 거동 김주형, 이전국, 안진호 한국세라믹학회지 2000
16 ECR 식각공정에 따른 층간절연막 폴리이미드의 전기적 특성 김상훈, 안진호 한국마이크로전자 및 패캐징 학회지 2000
15 차세대 노광공정용 Ta박막의 0.2μm 미세패턴 식각특성 연구 우상균, 김상훈, 주섭열, 안진호 한국재료학회지 2000
14 X-선 노광용 마스크 제작공정에 관한 연구 박창모, 우상균, 이승윤, 안진호 한국마이크로전자 및 패캐징 학회지 2000
13 Low-k polyimide상의 금속배선 형성을 위한 식각기술 연구 문호성, 김상훈, 안진호 한국재료학회지 2000
12 Ti 함량변화에 따른 X선 노광 마스크용 W-Ti 흡수체의 물성 연구 김경석, 이규한, 임승택, 이승윤, 안진호 한국재료학회지 2000
11 A study on the characteristics of the interlayer low dielectric polyimide during Cl-based plasma etching of aluminium S. Kim, H. Moon and J. Ahn Journal of the Korean Physical Society 1999.12
10 염소 플라즈마를 이용한 알루미늄 식각공정이 저유전상수 층간절연막 polyimide에 미치는 영향 문호성, 김상훈, 이홍구, 우상균, 김경석, 안진호 한국마이크로전자 및 패캐징 학회지 1999
9 Micromachining을 이용한 초소형 자왜 센서 제작공정 연구 김경석, 고중규, 임승택, 박성영, 이승윤, 안진호 한국마이크로전자 및 패캐징 학회지 1999
8 A novel anti-reflective structure with Al/SiO2 stack films for metal layer patterning S. Choi, J. Kim, H. Cha, J. Park, J. Ahn, J. Jo, H. Chung, S. Chang, B. Kim Journal of the Korean Physical Society 1998.12
7 Anisotropic etching of tungsten nitride with ICP system H. Lee, C. Jeong, H. Moon, S. Kim, and J. Ahn Journal of the Korean Physical Society 1998.12
6 Deposition of low stress, high transmittance SiC as an X-ray mask membrane using ECR plasma CVD S. Lee, J. Kamg, S. Lim, and J. Ahn Journal of the Korean Physical Society 1998.12
5 Deposition and characterization of SiN and SiC for membrane applications 이승윤, 강정호, 손주혁, 안진호 한국마이크로전자 및 패캐징 학회지 1998
4 Development of x-ray mask fabrication process using W-sputtering T. Lee, S. Lee and J. Ahn Metals and Materials 1997
3 실리콘 질화막을 이용한 X선 리소그라피 마스크용 박막물질의 개발 이태호, 안진호 한국재료학회지 1997
2 X-선 노광공정용 마스크 재료의 개발 안진호 대한금속학회회보 1997
1 ICP etching of tungsten for x-ray masks C. Jeong, K. Song, C. Park, Y. Jeon, D. Lee, and J. Ahn 표면공학회지 1996