한양대 나노 공정 및 소자 연구실 로고

Presentations-

HOME > Publication > Presentations


Num Title Conference Author Date
380 EUV 펠리클의 emissivity에 대한 다층 emission 구조의 영향 연구 The 31th Korean Conference on Semiconductors 강영우, 김하늘, 김원진, 김정연, 박영욱, 안진호 2024.01.26
379 Fizeau interferometry를 이용한 EUV attenuated phase shift mask 평가 기술 연구 The 31th Korean Conference on Semiconductors 이동기, 문승찬, 홍준호, 안진호 2024.01.26
378 Focus에 따른 마스크 특성 변화 완화가 가능한high-NA EUV 노광 공정용 high-k binary 마스크 연구 The 31th Korean Conference on Semiconductors 이승호, 정동민, 김연수, 안진호 2024.01.24
377 Epitaxial growth 를 통한 Poly-Si 기판에서의 선택적 증착 특성 연구 The 31th Korean Conference on Semiconductors 김성준, 박준형, 정회윤, 신왕철, 박인성, 박영욱, 안진호 2024.01.24
376 Grain size 및 조성비에 따른 EUV 펠리클의 기계적 특성 변화 The 31th Korean Conference on Semiconductors 김원진, 김하늘, 강영우, 김정연, 박영욱, 안진호 2024.01.24
375 EUV 펠리클에 포집된 임계 크기의 입자가 마스크 이미지 전사특성에 미치는 영향에 대한 실험적 연구 The 31th Korean Conference on Semiconductors 문승찬, 이동기, 홍준호, 안진호 2024.01.24
374 EUV 마스크 용 Pt 기반 흡수 소재 식각 성능 The 31th Korean Conference on Semiconductors 김연수, 정동민, 이승호, 안진호 2024.01.24
373 Fluorine 및 chlorine계 플라즈마 적용 유기-무기 수직분자선 다층 분자막 EUV 포토레지스트의 건식 현상 성능 비교 평가 The 31th Korean Conference on Semiconductors 석지후, 정지우, 지현석, 이재혁, 박인성, 성명모, 안진호 2024.01.24
372 Ru Compound Formation at the Interface between Ru and SiGe with Forming Gas Annealing nano convergence conference 2024 Jun Hyeong Park, Sung Jun Kim, In-Sung Park, Young Wook Park, and Jinho Ahn 2024.01.19
371 Investigating Grain Growth in CVD and Sputtered Si Films for 3D DRAM Applications nano convergence conference 2024 Sung Jun Kim, Jun Hyeong Park, In-Sung Park, Young Wook Park, and Jinho Ahn 2024.01.19
370 Experimental Investigation of the Mask Diffraction Light Blocking with a Critical Sized Sn Particle on EUV Pellicle nano convergence conference 2024 Seungchan Moon, Dong Gi Lee, Junho Hong, and Jinho Ahn 2024.01.19
369 Investigating the Impact of Multi-emission Layers on the emissivity of EUV pellicles nano convergence conference 2024 Young Woo Kang, Haneul Kim, Won Jin Kim, and Jinho Ahn 2024.01.19
368 High-k binary Mask for Alleviating Fluctuations of Mask Performance based on Focus in High-NA Lithography nano convergence conference 2024 Seungho Lee, Dongmin Jeong, Yunsoo Kim, and Jinho Ahn 2024.01.18
367 Effect of Grain Size and Composition on the Mechanical Properties of EUV Pellicle nano convergence conference 2024 Won Jin Kim, Haneul Kim, Young Woo Kang, Young Wook Park, and Jinho Ahn 2024.01.18
366 Fizeau Interferometer for Evaluating Extreme Ultraviolet Attenuated Phase Shift Mask nano convergence conference 2024 Dong Gi Lee, Seungchan Moon, Junho Hong, and Jinho Ahn 2024.01.18
365 Promising Absorber Solution for Best Focus Shift Mitigation in High-NA EUV Mask nano convergence conference 2024 Dongmin Jeong, Yunsoo Kim, Seungho Lee, and Jinho Ahn 2024.01.18
364 고개구수 극자외선 노광공정에 적용가능한 합금형 Pt-W 마스크 흡수 소재 연구 2023 차세대 리소그래피 학술대회 이승호, 정동민, 김연수, 안진호 2023.08.22
363 CF4, Cl2 가스를 이용한 유기-무기 다층 분자막 구조 EUV 포토레지스트의 건식 현상 공정 성능 비교 연구 2023 차세대 리소그래피 학술대회 정지우, 석지후, 지현석, 이재혁, 성명모, 안진호 2023.08.22
362 펠리클 표면에 존재하는 임계 크기 입자에 의한 회절광 산란이 마스크 이미지 전사 특성에 미치는 효과 연구 2023 차세대 리소그래피 학술대회 문승찬, 이동기, 홍준호, 안진호 2023.08.22
361 MoSi2 EUV 펠리클의 결정성에 따른 열적 하중 인가로 인한 물성 변화 영향 분석 2023 차세대 리소그래피 학술대회 Ha Neul Kim, Jinho Ahn 2023.08.21
360 Impact of MoSi2 Crystal Structure on Emissivity for the Application to EUV Pellicle NANO KOREA 2023 Jungyeon Kim, Ha Neul Kim, Seong Ju Wi, Young-Woo Kang, Won Jin Kim, and Jinho Ahn 2023.07.07
359 Dry Development Process for Organic-Inorganic Hybrid EUV Photoresist NANO KOREA 2023 Jiwoo Jung, Ji-Hoo Seok, Hyeonseok Ji, Jaehyuk Lee, Myung Mo Sung, and Jinho Ahn 2023.07.06
358 Forming Gas Annealing Effect on Reducing Oxygen at Ru/W Interface for Memory Capacitor Application NANO KOREA 2023 Sung Jun Kim, In-Sung Park, Young Wook Park, and Jinho Ahn 2023.07.06
357 Evaluation Method for Refractive Index and Extinction Coefficient of EUV Mask Materials using Optical Flats NANO KOREA 2023 Dong Gi Lee, Seungchan Moon, Jinhyuk Choi, and Jinho Ahn 2023.07.06
356 Near-field Infrared Nanoscopic Study of EUV- and e-beam-exposed Hydrogen Silsesquioxane Photoresist NANO KOREA 2023 Jiho Kim, Jin-Kyun Lee, Boknam Chae, Jinho Ahn, and Sangsul Lee 2023.07.05
355 Thermal Emissivity Measurement of Pellicle Membranes for EUV Lithography NANO KOREA 2023 Nam Hyeon Kim, Jiho Kim, Yongkyung Kim, Geonhwa Kim, Seul-Gi Kim, Hyun-Mi Kim, Jinho Ahn, Hyeongkeun Kim, and Sangsul Lee 2023.07.05
354 High-k Absorber Based EUV Mask for Depth of Focus and M3D Effects Control NANO KOREA 2023 Dongmin Jeong, Yunsoo Kim, Seung Ho Lee, and Jinho Ahn 2023.07.05
353 Forming gas annealing에 의한 Ru/W interface에서의 산소 거동 연구 The 30th Korean Conference on Semiconductors 김성준, 김선용, 박인성, 박영욱, 안진호 2023.02.14
352 극자외선 펠리클용 나노미터 두께의 대면적 탄화 몰리브데넘 박막 The 30th Korean Conference on Semiconductors 김용경, 김현미, 김형근, 김슬기, 안진호 2023.02.14
351 EUV 펠리클 주름이 반사도와 패턴 임계치수에 미치는 영향 연구 The 30th Korean Conference on Semiconductors 문승찬, 이동기, 최진혁, 안진호 2023.02.14
350 SiNx passivation layer가 EUV 펠리클 방사 효율에 미치는 영향 The 30th Korean Conference on Semiconductors 김원진, 위성주, 김하늘, 강영우, 김정연, 안진호 2023.02.14
349 High-NA EUV용 Pt 기반 마스크 흡수 소재 연구 The 30th Korean Conference on Semiconductors 김연수, 정동민, 조민선, 안진호 2023.02.14
348 EUV 펠리클 적용을 위한 ZrSi2 박막 특성 연구 The 30th Korean Conference on Semiconductors 강영우, 위성주, 김하늘, 김원진, 김정연, 안진호 2023.02.14
347 EUV 마스크 소재의 굴절계수 및 흡광계수 측정 방법 및 장치 The 30th Korean Conference on Semiconductors 이동기, 문승찬, 최진혁, 송석호, 안진호 2023.02.14
346 High-k 소재 기반 high-NA EUV용 마스크 흡수 소재 연구 The 30th Korean Conference on Semiconductors 정동민, 김연수, 조민선, 안진호 2023.02.14
345 EUV Lithography The 30th Korean Conference on Semiconductors 안진호 2023.02.13
344 Effect of forming gas annealing on oxygen behavior at Ru/W interface 2023 nano convergence conference Sung Jun Kim, Seon Yong Kim, In-Sung Park, Young Wook Park, and Jinho Ahn 2023.01.27
343 Experimental demonstration for the effect of EUV pellicle wrinkles on mask imaging performance 2023 nano convergence conference Jin Hyuk Choi, Dong Gi Lee, Seung Chan Moon, Seong Ju Wi, and Jinho Ahn 2023.01.27
342 Effect of SiNx passivation layer on the radiation efficiency of EUV pellicle 2023 nano convergence conference Won Jin Kim, Seong Ju Wi, Haneul Kim, Young Woo Kang, Jungyeon Kim, and Jinho Ahn 2023.01.27
341 Investigation of ZrSi2 for the application to EUV pellicle 2023 nano convergence conference Young Woo Kang, Seong Ju Wi, Haneul Kim, Won Jin Kim, Jungyeon Kim, and Jinho Ahn 2023.01.27
340 Platinum-based alternative EUV mask absorber for the high-NA EUV lithography 2023 nano convergence conference Yunsoo Kim, Dongmin Jeong, Minsun Cho, and Jinho Ahn 2023.01.27
339 Evaluation method for refractive index and extinction coefficient of EUV mask materials 2023 nano convergence conference Dong Gi Lee, Seung Chan Moon, Jin Hyuk Choi, Seok Ho Song, and Jinho Ahn 2023.01.26
338 Novel high-k binary mask for depth of focus and M3D effect control 2023 nano convergence conference Dongmin Jeong, Yunsoo Kim, Minsun Cho, and Jinho Ahn 2023.01.26
337 EUV 펠리클 주름이 M3D effect와 마스크 이미징 성능에 미치는 영향에 대한 실험적 시연 2022 차세대 리소그래피 학술대회 최진혁, 이동기, 김영웅, 문승찬, 위성주, 안진호 2022.08.17
336 EUV 펠리클의 열-기계적 물성이 critical dimension uniformity에 미치는 영향 2022 차세대 리소그래피 학술대회 강영우, 김정환, 안진호 2022.08.17
335 EUV Phase Shift Mask 위상특성 제어를 통한 마스크 이미징 성능 향상 연구 2022 차세대 리소그래피 학술대회 정동민, 김연수, 조민선, 안진호 2022.08.17
334 Electrical Characteristics of 3D P-I-N Structured 1T DRAM 2022 ISPSA Yong Tae Kim, Sehyun Kwon, and Jinho Ahn 2022.07.18
333 Experimental demonstration for the influence of pellicle wrinkles on the mask imaging performance NANO KOREA 2022 Jinhyuk Choi, Donggi Lee, Young Woong Kim, Seungchan Moon, Changsoo Kim, and Jinho Ahn 2022.07.07
332 Effect of diffraction phase control for low-n EUV mask NANO KOREA 2022 Minsun Cho, Dongmin Jeong, Yunsoo Kim, and Jinho Ahn 2022.07.07
331 Fluorine-based dry etch of platinum tungsten alloy for novel EUV mask absorber NANO KOREA 2022 Yunsoo Kim, Dongmin Jeong, Minsun Cho, and Jinho Ahn 2022.07.07
330 Impact of thermomechanical properties of EUV pellicle on image performance NANO KOREA 2022 Chang Soo Kim, Jung Hwan Kim, Seong Ju Wi, Ha Neul Kim, Young Woo Kang, Won Jin Kim and Jinho Ahn 2022.07.07
329 Evaluating optical/thermal properties of single MoSi2 EUV pellicle NANO KOREA 2022 Ha Neul Kim, Seong Ju Wi, Chang Soo Kim, Won Jin Kim, and Jinho Ahn 2022.07.07
328 Effect of wrinkles on EUV pellicle reflectivity and local critical dimension NANO KOREA 2022 Dong Gi Lee, Young Woong Kim, Seungchan Moon, Jinhyuk Choi, and Jinho Ahn 2022.07.06
327 Investigation on the causes of EUV transmittance degradation of EUV pellicle during exposure process NANO KOREA 2022 Seong Ju Wi, Ha Neul Kim, Chang Soo Kim, Young Woo Kang, Won Jin Kim, and Jinho Ahn 2022.07.06
326 EUV Lithography 차세대 리소그래피 학술대회 단기강좌 안진호 2022.06.30
325 EUV 펠리클 주름에 의한 반사도 변화와 패턴 임계치수에 미치는 영향 Spring Conference of KSDT 2022 문승찬, 이동기, 김영웅, 최진혁, 안진호 2022.05.27
324 극자외선 노광공정용 마스크의 이미징 성능 향상을 위한 회절광 위상 특성 최적화 연구 Spring Conference of KSDT 2022 정동민, 김연수, 조민선, 안진호 2022.05.27
323 노광 공정 중 EUV 펠리클의 EUV 투과도 저하 원인 연구 Spring Conference of KSDT 2022 위성주, 김하늘, 김창수, 강영우, 김원진, 안진호 2022.05.27
322 회절광의 위상 특성 최적화를 통한 극자외선 노광공정용 마스크 이미징 성능 향상 연구 The 29th Korean Conference on Semiconductors 조민선, 정동민, 김득규, 김연수, 안진호 2022.01.25
321 EUV 펠리클 주름에 의한 마스크 회절 특성 변화가 마스크 이미지 전사 특성에 미치는 영향 The 29th Korean Conference on Semiconductors 이동기, 김영웅, 문승찬, 최진혁, 위성주, 안진호 2022.01.25
320 노광 공정 중 EUV 펠리클의 EUV 투과도 저하 원인 연구 The 29th Korean Conference on Semiconductors 위성주, 김하늘, 김창수, 강영우, 김원진, 안진호 2022.01.25
319 Validation of single MoSi2 EUV pellicle by optical/mechanical characterization 2022 nano convergence conference Wonjin Kim, Seongju Wi, Haneul Kim, and Jinho Ahn 2022.01.18
318 Impact of residual stress on the deflection of extreme ultraviolet pellicles 2022 nano convergence conference Youngwoo Kang, Junghwan Kim, Changsoo Kim, and Jinho Ahn 2022.01.18
317 Effect of pellicle wrinkles on diffraction properties and the mask imaging performance 2022 nano convergence conference Dong Gi Lee, Young Woong Kim, Seungchan Moon, Jin Hyuk Choi, and Jinho Ahn 2022.01.18
316 EUV Mask Phase optimization for low-n Phase Shift Mask 2022 nano convergence conference Dongmin Jeong, Deukgyu Kim, Yunsoo Kim, Minsun Cho, and Jinho Ahn 2022.01.18
315 Atomic layer etching of TiN with non-greenhouse gas 2022 nano convergence conference Seon Yong Kim, In-Sung Park, and Jinho Ahn 2022.01.18
314 펠리클 주름에 의한 반사도 변화와 패턴 임계치수에 미치는 영향 연구 2021 차세대 리소그래피 학회 문승찬, 이동기, 김영웅, 안진호 2021.11.17
313 MoSi2 단일막 EUV 펠리클의 광학적/열적 특성 평가 2021 차세대 리소그래피 학회 김원진, 김정환, 김하늘, 위성주, 안진호 2021.11.17
312 극자외선 펠리클의 처짐에 대한 잔류응력의 영향 2021 차세대 리소그래피 학회 강영우, 김정환, 위성주, 김하늘, 김창수, 김원진, 안진호 2021.11.17
311 회절광 특성 최적화를 통한 극자외선 노광공정용 마스크 이미징 성능 향상 2021 차세대 리소그래피 학회 김연수, 정동민, 김득규, 조민선, 안진호 2021.11.17
310 Enhancing EUV mask imaging performance through aerial image optimization NANO KOREA 2021 김연수, 정동민, 김득규, 조민선, 안진호 2021.07.07
309 Plasma etching of silicon-related materials with non-global warming gas of CF3I for in-situ chamber cleaning NANO KOREA 2021 신상휴, 김선용, 박진성, 심태헌, 박인성, 안진호 2021.07.07
308 Evaluating opticalmechanical properties of single MoSi2 EUV pellicle NANO KOREA 2021 김하늘, 장용주, 위성주, 김창수, 안진호 2021.07.07
307 Actinic mask imaging using EUV ptychography microscope NANO KOREA 2021 김영웅, 이동기, 구창모, 조중휘, 안진호 2021.07.07
306 EUV Lithography 차세대 리소그래피 학술대회 단기강좌 안진호 2021.06.24
305 광학적/열적 특성 평가를 통한 ZrSi2 기반 펠리클 적용 가능성 검증 2021 한국반도체디스플레이기술학회 김창수, 장용주, 위성주, 김하늘, 안진호 2021.05.20
304 극자외선 노광공정용 마스크 이미징 특성 향상 연구 2021 한국반도체디스플레이기술학회 김득규, 정동민, 김연수, 조민선, 안진호 2021.05.20
303 극자외선 노광공정용 펠리클의 주름에 의해 증가한 반사도가 마스크 이미징 성능에 미치는 영향 2021 한국반도체디스플레이기술학회 이동기, 김영웅, 문승찬, 안진호 2021.05.20
302 Progress on EUVL mask and pellicle research The 28th Korean Conference on Semiconductors Jinho Ahn 2021.01.27
301 극자외선 노광공정용 마스크 이미징 특성 향상 연구 The 28th Korean Conference on Semiconductors 김득규, 정동민, 한윤종, 김연수, 안진호 2021.01.27
300 EUV ptychography microscope를 이용한 actinic 마스크 이미징 연구 The 28th Korean Conference on Semiconductors 김영웅, 유병민, 이동기, 구창모, 조중휘, 안진호 2021.01.27
299 MoSi2 단일막 펠리클의 열적/광학적 특성 평가 The 28th Korean Conference on Semiconductors 김하늘, 장용주, 위성주, 김창수, 안진호 2021.01.27
298 니켈을 활용한 고개구수 극자외선 노광공정용 고흡수도 마스크 연구 The 28th Korean Conference on Semiconductors 정동민, 한윤종, 김득규, 김연수, 안진호 2021.01.27
297 파티클로 인한 EUV 펠리클의 열-기계적 거동 변화의 실험적 검증 The 28th Korean Conference on Semiconductors 장용주, 위성주, 김하늘, 김창수, 안진호 2021.01.27
296 Enabling enhanced EUV mask imaging performance through aerial image optimization 2021 nano convergence conference 김연수, 정동민, 한윤종, 김득규, 안진호 2021.01.19
295 Effect of reflected EUV light by the wrinkled pellicle 2021 nano convergence conference 이동기, 김영웅, 유병민, 안진호 2021.01.19
294 Fabrication and evaluation of Ni-based high-k mask for high numerical aperture extreme ultraviolet lithography 2021 nano convergence conference 정동민, 한윤종, 김득규, 김연수, 안진호 2021.01.19
293 The verification of relationship between resistivity and thermal emissivity of EUV pellicle 2021 nano convergence conference 위성주, 장용주, 김하늘, 김창수, 안진호 2021.01.19
292 Actinic mask imaging using EUV ptychography microscope 2021 nano convergence conference 김영웅, 유병민, 이동기, 구창모, 조충희, 안진호 2021.01.19
291 Atomic layer etching of SiO2 with non-global warming gas 2021 nano convergence conference 김선용, 신상휴, 박인성, 안진호 2021.01.19
290 Investigation of particle impact on thermo-mechanical behavior of EUV pellicle 2021 nano convergence conference 장용주, 위성주, 김하늘, 김창수, 안진호 2021.01.19
289 Experimental performance of Z2-FET in matrix structure 2021 nano convergence conference 권세현, 김용태, 안진호 2021.01.19
288 High-k absorber binary intensity mask using nickel for high numerical aperture extreme ultraviolet lithography NANO KOREA 2020 한윤종, 정동민, 안진호 2020.07.01
287 EUV Pellicle defect review using EUV ptychography microscope NANO KOREA 2020 유병민, 우동곤, 김영웅, 장용주, 위성주, 안진호 2020.07.01
286 Quasi-atomic layer etching of SIO2 using polymerization of CF3I NANO KOREA 2020 김선용,박인성,안진호 2020.07.01
285 Electric Characteristics Of Z2-FET with Positive Feedback Mechanism The 27th Korean Conference on Semiconductors Sehyun Kwon, Yong Tae Kim, and Jinho Ahn 2020.02.14
284 EUV ptychography microscope를 이용한 through-pellicle 이미징 연구 The 27th Korean Conference on Semiconductors 김영웅, 우동곤, 장용주, 위성주, 안진호 2020.02.14
283 니켈 흡수체를 이용한 고개구수 극자외선 노광공정용 마스크 연구 The 27th Korean Conference on Semiconductors 한윤종, 정동민, 안진호 2020.02.13
282 백금을 활용한 고개구수 극자외선 노광공정용 위상변위 마스크 연구 The 27th Korean Conference on Semiconductors 정동민, 한윤종, 안진호 2020.02.13
281 Evaluating optical/thermal properties of MoSi2 EUV pellicle composite The 27th Korean Conference on Semiconductors 김하늘, 장용주, 위성주, 유병민, 안진호 2020.02.13
280 열처리에 따른 복합구조체 EUV 펠리클의 열적 특성 평가 The 27th Korean Conference on Semiconductors 위성주, 장용주, 김하늘, 안진호 2020.02.13
279 저지구온난화지수를 가진 CF3I를 이용한 SiO2의 Atomic layer etching The 27th Korean Conference on Semiconductors 김선용, 이태훈, 박인성, 안진호 2020.02.13
278 파티클 오염으로 인한 극자외선 노광 기술용 펠리클의 열적 내구성 평가 The 27th Korean Conference on Semiconductors Yong Ju Jang, Seong Ju Wi, Ha Neul Kim and Jinho Ahn 2020.02.13
277 Memory operation of Z2-FET capacitor-less DRAM device NANO convergence conference 2020 권세현, 김용태, 안진호 2020.01.16
276 Through-pellicle imaging using EUV ptychography microscope NANO convergence conference 2020 김영웅, 우동곤, 장용주, 위성주, 안진호 2020.01.16
275 니켈을 이용한 고개구수 극자외선 노광공정용 마스크 흡수체 연구 한국반도체디스플레이기술학회 2019 추계학술대회 한윤종, 정동민, 안진호 2019.11.19
274 EUV scanning lensless imaging을 이용한 펠리클 검사 연구 한국반도체디스플레이기술학회 2019 추계학술대회 유병민, 우동곤, 김영웅, 장용주, 위성주, 안진호 2019.11.19
273 Multilayer absorber phase shift mask using platinum for high numerical aperture extreme ultraviolet lithography NANO KOREA 2019 정동민, 김정식, 공길우, 최민기, 이종화, 안진호 2019.07.04
272 Proposal of EUV pellicle composite to improve thermal durability NANO KOREA 2019 위성주, 장용주, 김하늘, 안진호 2019.07.04
271 EUV ptychography microscope for evaluation of EUV mask and pellicle NANO KOREA 2019 김영웅, 우동곤, 장용주, 위성주, 신승혁, 김회율, 안진호 2019.07.04
270 Reliability of band modulation FET on sub 10nm SOI NANO KOREA 2019 권세현, 최민호, 김용태, 안진호 2019.07.03
269 Electrical characteristics of Metal-insulator-metal structure with various ZnO insulator thickness NANO KOREA 2019 이태훈, 정용찬, 성세종, 김선용, 박인성, 안진호 2019.07.03
268 EUV Lithography is Here 한국반도체디스플레이기술학회 2019년 춘계 학술대회 안진호 2019.05.09
267 SiN 기반 EUV 펠리클 제작을 위한 에칭 공정 최적화 The 26th Korean Conference on Semiconductors 신현진, 장용주, 위성주, 안진호 2019.02.15
266 다층박막형 흡수체 구조를 사용한 극자외선 노광공정용 위상변위 마스크 연구 The 26th Korean Conference on Semiconductors 정동민, 김정식, 이종화, 최민기, 공길우, 안진호 2019.02.15
265 탄소 계열 보강층이 포함된 복합구조체 EUV 펠리클의 특성 평가 The 26th Korean Conference on Semiconductors 위성주, 장용주, 김하늘, 신현진, 우동곤, 김영웅, 안진호 2019.02.15
264 Coherent Scattering Microscope를 이용한 EUV 펠리클의 광학적 특성 평가 The 26th Korean Conference on Semiconductors 김영웅, 우동곤, 장용주, 위성주, 안진호 2019.02.15
263 원자층증착법 기술의 광촉매 나노재료 합성 적용과 그 응용 The 26th Korean Conference on Semiconductors 성세종, 정용찬, 이태훈, 김선용, 박인성, 안진호 2019.02.15
262 ZnO 절연체의 두께에 따른 Metal-Insulator-Metal 소자의 특성 변화 관찰 The 26th Korean Conference on Semiconductors 이태훈, 정용찬, 성세종, 김선용, 박인성, 안진호 2019.02.15
261 열적 내구성 향상을 위한 복합구조체 펠리클 구조 제안 The 26th Korean Conference on Semiconductors 장용주, 위성주, 신현진, 김하늘, 안진호 2019.02.15
260 La(NO3)3·6H2O 용액을 산화제로 이용한 HfO2 박막의 미세 구조 The 26th Korean Conference on Semiconductors 김선용, 정용찬, 성세종, 이태훈, 박인성, 안진호 2019.02.14
259 Characteristics of Band Modulation FET The 26th Korean Conference on Semiconductors 권세현, 최민호, 박인성, 김용태, 안진호 2019.02.14
258 Performance and Applications of EUV Scanning Lensless Imaging(ESLI) The 26th Korean Conference on Semiconductors 우동곤, 김영웅, 장용주, 위성주, 안진호 2019.02.14
257 고 개구수 극자외선 노광공정용 위상변위 마스크 제작 및 성능 평가 The 26th Korean Conference on Semiconductors 김정식, 정동민, 이종화, 최민기, 공길우, 안진호 2019.02.14
256 Fast atomic layer deposition with La(NO3)3·6H2O solution oxidant NANO convergence conference 2019 Seon Yong Kim, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park, and Jinho Ahn 2019.01.18
255 Fast atomic layer deposition with La(NO3)3·6H2O solution oxidant NANO convergence conference 2019 Seon Yong Kim, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park, and Jinho Ahn 2019.01.18
254 Optical, structural, and device characteristics of ZnO films with thickness variation NANO convergence conference 2019 Taehoon Lee, Yong Chan Jung, Sejong Seong, Seon Yong Kim, In-Sung Park, and Jinho Ahn 2019.01.18
253 Memory operation of band modulation FET NANO convergence conference 2019 Sehyun Kwon, Minho Choi, Yong Tae Kim, and Jinho Ahn 2019.01.17
252 Fabrication of Fe3O4-ZnO Core-Shell Nanoparticles and its Application for Drug Delivery NANO convergence conference 2019 Sejong Seong, Yong Chan Jung, Taehoon Lee, Seon Yong Kim, In-Sung Park, Su-Jae Lee, and Jinho Ahn 2019.01.17
251 다층박막형 흡수체 구조를 사용한 극자외선 노광공정용 위상변위 마스크 연구 2018 Conference on Next Generation Lithography 정동민, 김정식, 이종화, 최민기, 공길우, 안진호 2018.08.21
250 결맞음성 회절 현미경을 이용한 EUV 마스크의 through-pellicle metrology 연구 2018 Conference on Next Generation Lithography 김영웅, 안진호, 우동곤, 장용주, 위성주 2018.08.21
249 탄소계열 보강층이 포함된 복합구조체 EUV 펠리클의 기계적, 열적 특성 평가 2018 Conference on Next Generation Lithography 위성주, 김정식, 우동곤, 김영웅, 안진호 2018.08.21
248 Development of EUV - ptychography microscope : EUV scanning lensless imaging (ESLI) 2018 Conference on Next Generation Lithography 우동곤, 신승혁, 김영웅, 김회율, 안진호 2018.08.21
247 백금을 활용한 고 개구수 극자외선 노광공정용 위상변위 마스크 제작 및 성능 평가 2018 Conference on Next Generation Lithography 김정식, 정동민, 이종화, 최민기, 공길우, 안진호 2018.08.21
246 Evaluating thermal stability of EUV pellicle composite depending on membrane materials and structure 2018 Conference on Next Generation Lithography 장용주, 위성주, 우동곤, 김영웅, 안진호 2018.08.21
245 Enhanced photocatalytic Ag/ZnO core/shell nanoparticles fabricated by atomic layer deposition NANO KOREA 2018 Sejong Seong, Yong Chan Jung, Taehoon Lee, Seon Yong Kim, In-Sung Park and Jinho Ahn 2018.07.12
244 Memory operation of capacitor-less 1T-DRAM NANO KOREA 2018 Sehyun Kwon, Minho Choi, Yong Tae Kim, Francisco Gamiz and Jinho Ahn 2018.07.12
243 Proposal of EUV pellicle composite to enhance thermal durability NANO KOREA 2018 Yong Ju Jang, Sung Ju Wi and Jinho Ahn 2018.07.11
242 Enhanced luminous efficacy of 2-dimensonal photonic crystal layers using various metal oxide nanoparticles on Y2O3:Eu3+ TFPs. NANO KOREA 2018 Hyojun Kim, Ha Neul Kim, In-Sung Park, Ki-Young Ko and Jinho Ahn 2018.07.11
241 Uniform optical and electrical improvement of IGZO thin films using large-area anneal system NANO KOREA 2018 Taehoon Lee, Yong Chan Jung, Sejong Seong, Seon Yong Kim, In-Sung Park and Jinho Ahn 2018.07.11
240 Oxidant effect of La(NO3)3·6H2O solution on the HfO2 thin films grown by atomic layer deposition NANO KOREA 2018 Seon Yong Kim, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park and Jinho Ahn 2018.07.11
239 The EUV pellicle qualification through optical evaluation of pellicle using EUV scanning lensless imaging (ESLI) NANO KOREA 2018 Young Woong Kim, Dong Gon Woo, Seunghyeok Shin, Whoi-Yul Kim and Jinho Ahn 2018.07.11
238 Electrical characteristics of nano SOI FET for 1 transistor memory The 19th International Symposium on the Physics of Semiconductor and Applications Sehyun Kwon, Jinho Ahn, Francisco Gamiz, Sorin Cristoloveanu, and Yong Tae Kim 2018.07.05
237 La(NO3)3∙6H2O 용액을 이용하여 ALD 법으로 증착한 HfO2 박막의 특성 한국반도체디스플레이기술학회 2018년 춘계학술대회 김선용, 정용찬, 성세종, 이태훈, 박인성, 안진호 2018.04.20
236 EUV Scanning Lensless Imaging (ESLI) 기술을 이용한 EUV 펠리클 Qualification 연구 한국반도체디스플레이기술학회 2018년 춘계학술대회 김영웅, 우동곤, 신승혁, 김회율, 안진호 2018.04.20
235 Y3Al5O12:Ce3+ (YAG:Ce3+)형광판 위 은 나노 입자를 포함한 이차원 광 결정 형성하여 백색 발광 다이오드 발광 효율 개선 The 25th Korean Conference on Semiconductors 김효준, 박인성, 고기영, 안진호 2018.02.08
234 Development of novel EUV actinic inspection technique: EUV Scanning Lensless Imaging (ESLI) The 25th Korean Conference on Semiconductors 우동곤, 김영웅, 김정환, 신승혁, 김회율, 안진호 2018.02.08
233 The improvement of inspection stability of coherent scattering microscope The 25th Korean Conference on Semiconductors 김영웅, 우동곤, 안진호 2018.02.07
232 Bulge test를 이용한 EUV 펠리클 박막의 기계적 특성 평가 The 25th Korean Conference on Semiconductors Seong Ju Wi, Yong Ju Jang, Ki Seong Lee, Seung Min Han, Seong In Kim and Jinho Ahn 2018.02.07
231 Thermal property analysis of EUV pellicle membrane The 25th Korean Conference on Semiconductors Yong Ju Jang, Seong Ju Wi and Jinho Ahn 2018.02.07
230 Capacitorless 1T-DRAM Device The 25th Korean Conference on Semiconductors Sehyun Kwon, Minho Choi, In-Sung Park, Yong Tae Kim, and Jinho Ahn 2018.02.07
229 Improvement of ZnO Resistivie switching Devices by Metal Thin Layer on ITO Electrode for Transparent Devices The 25th Korean Conference on Semiconductors Taehoon Lee, Yong Chan Jung, Sejong Seong, Seon Yong Kim, In-Sung Park and Jinho Ahn 2018.02.07
228 Fabrication of Ag/ZnO Core/Shell Nanoparticles by Rotational Atomic Layer Deposition and Their Enhanced Photocatalytic Properties The 25th Korean Conference on Semiconductors Sejong Seong, Yong Chan Jung, Taehoon Lee, Seon Yong Kim, In-Sung Park and Jinho Ahn 2018.02.07
227 Atomic Layer Deposition of HfO2 Films Using La(NO3)3∙6H2O Solution for Oxidant The 25th Korean Conference on Semiconductors Seon Yong Kim, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park and Jinho Ahn 2018.02.06
226 Development of EUV actinic inspection technique: EUV scanning lensless imaging (ESLI) NANO KOREA 2017 우동곤, 김영웅, 신승혁, 김회율, 안진호 2017.07.14
225 Development of EUV actinic inspection technique: EUV scanning lensless imaging (ESLI) 2017 차세대리소그래피 학술대회 우동곤, 김영웅, 김정환, 신승혁, 김회율, 안진호 2017.07.14
224 Improved inspection stability of coherent scattering microscopy NANO KOREA 2017 Young Woong Kim, Dong Gon Woo and Jinho Ahn 2017.07.13
223 Mechanical and optical properties of graphene composite EUV pellicle NANO KOREA 2017 Yong Ju Jang, Jung Hwan Kim and Jinho Ahn 2017.07.13
222 Using platinum for absorber stack of attenuated phase shift mask in high-numerical aperture EUVL NANO KOREA 2017 Jung Sik Kim, Dong Gon Woo, and Jinho Ahn 2017.07.12
221 Characterization of SiN-based membrane for EUV pellicle application 2017 차세대리소그래피 학술대회 Yong Ju Jang, Jung Hwan Kim and Jinho Ahn 2017.07.12
220 Study of a phase shift mask using platinum group metal in high NA EUV lithography 2017 차세대리소그래피 학술대회 Jung Sik Kim, Dong Gon Woo, and Jinho Ahn 2017.07.10
219 Y2O3:Eu3+ 박막형광체 위 유⋅무기 하이브리드 나노재료를 포함하는 2차원 나노구조체 전사를 통한 발광 효율 향상 연구 2017 차세대리소그래피 학술대회 김효준, 고기영, 박인성, 안진호 2017.07.10
218 Mechanism of capacitorless 1T-Memory 한국반도체디스플레이기술학회 2017년 춘계학술대회 권세현, 김춘근, 김용태, Sorin Cristoloveanu, 안진호 2017.04.27
217 결맞음성 회절 현미경의 검사 안정성 개선 연구 한국반도체디스플레이기술학회 2017년 춘계학술대회 김영웅, 우동곤, 김정환, 안진호 2017.04.27
216 Graphene을 포함한 복합구조체 EUV 펠리클의 광학적, 기계적 특성 분석 한국반도체디스플레이기술학회 2017년 춘계학술대회 Yong Ju Jang, Jung Hwan Kim and Jinho Ahn 2017.04.27
215 이미징 성능을 향상시키는 고 개구수 EUV 위상변위 마스크 연구 한국반도체디스플레이기술학회 2017년 춘계학술대회 김정식, 우동곤, 안진호 2017.04.27
214 Enhanced optical properties by quasicrystal patterned on a Y2O3:Eu3+ thin-film phosphors 한국반도체디스플레이기술학회 2017년 춘계학술대회 김효준, 박인성, 고기영, 안진호 2017.04.27
213 금속 나노 박막을 이용한 ITO 전극 위의 ZnO 박막의 저항 스위칭 특성 향상 한국반도체디스플레이기술학회 2017년 춘계학술대회 이태훈, 정용찬, 성세종, 이박, 김선용, 박인성, 안진호 2017.04.27
212 EUV 마스크 검사를 위한 EUV scanning lensless imaging 기술 개발 The 24th Korean Conference on Semiconductors 우동곤, 김정환, 홍성철, 신승혁, 김회율, 안진호 2017.02.15
211 Surface Smoothness Improvement of Atomic Layer deposited HfO2 Film via Inserting Al2O3 Thin Film with Layer-by-Layer The 24th Korean Conference on Semiconductors Bo Li, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park, Jinho Ahn 2017.02.15
210 진공 열처리를 통한 ITO 투명 전도성 산화물 박막의 특성 향상에 대한 연구 The 24th Korean Conference on Semiconductors Sejong Seong, Yong Chan Jung, Taehoon Lee, Bo Li, In-Sung Park, Jinho Ahn 2017.02.14
209 Thermal Budget이 향상된 열처리 시스템을 이용한 IGZO 박막의 열처리 특성 비교 The 24th Korean Conference on Semiconductors Taehoon Lee, Yong Chan Jung, Sejong Seong, Bo Li, In-sung Park, and Jinho Ahn 2017.02.14
208 새로운 대면적 열처리 시스템을 이용한 비정질 실리콘의 균일한 탈수소화에 관한 연구 The 24th Korean Conference on Semiconductors 정용찬, 성세종, 이태훈, 박인성, 김태현, 여원재, 안진호 2017.02.14
207 Design of In3SbTe2 phase-change material with local distortion for memory and neuromorphic system The 24th Korean Conference on Semiconductors Minho Choi, Heechae Choi, Seungchul Kim, Yong Tae Kim, and Jinho Ahn 2017.02.14
206 이미징 퍼포먼스를 향상 시키는 high-NA 시스템용 EUV PSM 연구 The 24th Korean Conference on Semiconductors 김정식, 홍성철, 장용주, 안진호 2017.02.14
205 그래핀을 포함한 복합구조체 EUV 펠리클의 광학적, 기계적 특성 분석 The 24th Korean Conference on Semiconductors Yong Ju Jang, Jung Hwan Kim, Seongchul Hong and Jinho Ahn 2017.02.14
204 결맞음성 회절 현미경의 검사 안정성 개선 연구 The 24th Korean Conference on Semiconductors 김영웅, 우동곤, 김정환, 안진호 2017.02.14
203 Material Design of Phase-change Memory by Controlling Vacancy and Distortion with Dopants 대한금속재료학회 2016 추계학술대회 Minho Choi, Heechae Choi, Seungchul Kim, Yong Tae Kim, and Jinho Ahn 2016.10.27
202 Resistive switching characteristics in HfO2 and Y2O3 based atomic layer depostion grown Metal/Insulator/Metal devices 대한금속재료학회 2016 추계학술대회 Yong Chan Jung, Sejong Seong, Taehoon Lee, Bo Li, In-Sung Park, Jinho Ahn 2016.10.27
201 Thermal Vacuum Annealing Effects on ITO Thin Films The 15th International Symposium on Microelectronics and Packaging Sejong Seong, Yong Chan Jung, Taehoon Lee, In-Sung Park, Jinho Ahn 2016.10.26
200 Characteristics of IGZO Thin Films Treated by Thermal Vacuum Annealing The 15th International Symposium on Microelectronics and Packaging Taehoon Lee, Yong Chan Jung, Sejong Seong, In-Sung Park, Jinho Ahn 2016.10.25
199 Feasibility study on graphene-embedded EUV pellicle ICMAP 2016 김지은, 김정환, 장용주, 김영웅, 안진호 2016.09.27
198 Optical properties of quasicrystal patterned Y2O3:Eu3+ thin-film phosphors by nanosphere lithography ICMAP 2016 Hyojun Kim, In-Sung Park, Ki-Young Ko and Jinho Ahn 2016.09.27
197 Improved photoluminescence intensity of double-side patterned Y2O3:Eu3+ thin-film phosphors by reverse nano-imprint lithography Nanokorea 2016 Hyojun Kim, In-Sung Park, Ki-Young Ko, and Jinho Ahn 2016.07.14
196 Effect of Vacancy and Distortion on Fast Transition in Bi-doped In3SbTe2 2016 대한금속학회 Spring Minho Choi, Heechae Choi, Seungchul Kim, Yong Tae Kim, and Jinho Ahn 2016.04.28
195 EUV 마스크 및 펠리클 검사를 위한 ptychography 개발 반도체 디스플레이 춘계 학술대회 우동곤, 홍성철, 조훈, 김회율, 안진호 2016.04.21
194 Graphene을 포함한 복합구조체 EUV 펠리클의 광학적, 기계적 특성 분석 반도체 디스플레이 춘계 학술대회 장용주, 김지은, 김정환, 홍성철, 안진호 2016.04.21
193 EUV 펠리클 가속도 안정성 확인을 위한 스핀코터 활용가능성 반도체 디스플레이 춘계 학술대회 김지은, 김정환, 홍성철, 장용주, 안진호 2016.04.21
192 극자외선 리소그래피 high-NA용 half-tone 위상변위마스크 구조 반도체 디스플레이 춘계 학술대회 장용주, 김정식, 홍성철, 안진호 2016.04.21
191 Beyond EUV lithography를 위한 다층 박막 미러 구조 제시 반도체 디스플레이 춘계 학술대회 우동곤, 김정식, 김정환, 홍성철, 안진호 2016.04.21
190 극자외선 노광공정용 펠리클의 투과도에 따른 이미징 특성 분석 반도체 디스플레이 춘계 학술대회 김정환, 김지은, 홍성철, 안진호 2016.04.21
189 실리콘 나이트라이드 박막 기반의 펠리클 제작 플랫폼 개발 반도체 디스플레이 춘계 학술대회 김지은, 김정환, 홍성철, 장용주, 안진호 2016.04.21
188 물중탕 식각 공정을 이용한 대면적 실리콘 나이트라이드 극자외선 노광공정용 펠리클 제작 반도체 디스플레이 춘계 학술대회 김정환, 김지은, 홍성철, 안진호 2016.04.21
187 스핀코터를 이용한 EUV 펠리클의 기게적 안정성 평가 설계 제 5회 차세대리소그래피학술대회 김지은 김정환, 조한구, 안진호 2016.04.08
186 SRAF가 존재하는 극자외선 위상변위 마스크의 이미징 특성 측정 제 5회 차세대리소그래피학술대회 장용주, 김정식, 홍성철, 조한구, 안진호 2016.04.08
185 극자외선 노광공정용 펠리클의 투과도에 따른 이미징 특성 분석 제 5회 차세대리소그래피학술대회 김정환, 김지은, 장용주, 우동곤, 조한구, 안진호 2016.04.07
184 Improved optical properties Y2O3:Eu3+ thin-film phosphors assisted by quasicrystal 2D PCL structures 제 5회 차세대리소그래피학술대회 Hyojun Kim, In-Sung Park, Ki-Young Ko, and Jinho Ahn 2016.04.07
183 검사광원 및 이미지 위치정보 보상을 통한 EUV 타이코그라피 이미지 재구성 정확도 개선 제 5회 차세대리소그래피학술대회 우동곤, 홍성철, 조훈, 김회율, 조한구, 안진호 2016.04.07
182 습식 식각 공정을 이용한 EUV 펠리클용 실리콘 나이트라이드 멤브레인 제작 제 5회 차세대리소그래피학술대회 정성훈, 김정환, 김지은, 조한구, 안진호 2016.04.07
181 Influence of forming gas annealing to Pt/HfO2/Pt resistors on resistive switching characteristics 제 23회 한국 반도체 학술대회 Sejong Seong, Yong Chan Jung, Taehoon Lee, In-Sung Park, Jinho Ahn 2016.02.23
180 The resistive switching characteristics of transparent Al/ZnO/ITO/PET resistor with IPL treatment 제 23회 한국 반도체 학술대회 Sejong Seong, Yong Chan Jung, Taehoon Lee, In-Sung Park, Jinho Ahn 2016.02.23
179 The effects of rotary reactor with wave bumps in atomic layer deposition: its application to the fabrication of core/shell nano-particles 제 23회 한국 반도체 학술대회 Sejong Seong, Yong Chan Jung, Taehoon Lee, In-Sung Park, Jinho Ahn 2016.02.23
178 노광량과 주 패턴의 선폭 바이어스를 통한 SRAF가 적용된 EUV용 마스크의 공정 허용도 최적화 제 23회 한국 반도체 학술대회 장용주, 김정식, 홍성철, 조한구, 안진호 2016.02.23
177 Improvement on operation speed of In3SbTe2 (IST-312) phase-change material by Bi doping 제 23회 한국 반도체 학술대회 Minho Choi, Heechae Choi, Seungchul Kim, Yong Tae Kim, and Jinho Ahn 2016.02.23
176 Effective optical properties of multi pitch patterned Y2O3:Eu3+ thin-film phosphors 제 23회 한국 반도체 학술대회 Hyojun Kim, In-Sung Park, Ki-Young Ko, and Jinho Ahn 2016.02.23
175 대면적 고속화 EUV 마스크 검사를 위한 ptychography 알고리즘 연구 제 23회 한국 반도체 학술대회 우동곤, 이승민, 홍성철, 조한구, 안진호 2016.02.23
174 고투과도 SiNx EUV용 펠리클 제작을 위한 HF thinning 공정 가능성 평가 제 23회 한국 반도체 학술대회 김지은, 김정환, 홍성철, 조한구, 안진호 2016.02.23
173 EUV용 펠리클 대안구조로서 그래핀 복합구조 가능성 연구 제 23회 한국 반도체 학술대회 김정환, 홍성철, 조한구, 안진호 2016.02.23
172 EUV용 PSM을 통한 SRAF 적용 마진 및 노광공정 마진 향상 연구 제 23회 한국 반도체 학술대회 김정식, 홍성철, 장용주, 조한구, 안진호 2016.02.23
171 Fabrication and Analysis of Bio-applicable Core/Shell Nano-composites using Atomic Layer Deposition 2015 INST Symposium 성세종, 정용찬, 이태훈, 박인성, 안진호 2015.06.30
170 Resistive Switching of Atomic Layer Deposition grown Metal/Insulator/Metal devices 2015 INST Symposium 정용찬, 성세종, 이태훈, 박인성, 안진호 2015.06.30
169 Enhanced light extraction efficiency of double-side patterned Y2O3:Eu3+ thin-film phosphors by reverse nano-imprint lithography IFFM 김효준, 박철균, 고기영, 안진호 2015.06.26
168 다양한 패턴에 대한 EUV-CSM의 이미징 최적화와 제4회 차세대리소그래피학술대회 이승민, 이재욱, 홍성철, 김정식, 김정환, 송현민, 안진호 2015.04.03
167 극자외선 노광 공정용 half-tone 위상 변위 마스크 제작 공정 시 absorber stack의 sidewall angle의 영향 제4회 차세대리소그래피학술대회 송현민, 이재욱, 홍성철, 이승민, 김정식, 김정환, 안진호 2015.04.01
166 EUV resist underlayer의 광학적 특성이 이미징에 미치는 영향 제4회 차세대리소그래피학술대회 김정식, 홍성철, 이재욱, 이승민, 김정환, 송현민, 안진호 2015.04.01
165 SiNx 단일막을 이용한 EUV 펠리클 멤브레인의 기계적/광학적 특성 분석 제4회 차세대리소그래피학술대회 김정환, 이재욱, 홍성철, 이승민, 김정식, 송현민, 박진구, 오혜근, 안진호 2015.04.01
164 Electrode Dependent Interfacial Layer Scavenging and Characteristics Variation 제22회 한국반도체학술대회 이태훈, 성세종, 정용찬, 박인성, 이성보, 안진호 2015.02.11
163 Wet etching process를 통한 free standing pellicle membrane 제22회 한국반도체학술대회 김정환, 홍성철, 이재욱, 이승민, 김정식, 송현민, 안진호 2015.02.11
162 Hybrid Input-Output (HIO) 알고리즘을 사용한 마스크 패턴의 이미지 재구성 연구 제22회 한국반도체학술대회 이승민, 이재욱, 홍성철, 김정식, 김정환, 송현민, 안진호 2015.02.11
161 Intermediate phase transition of Ge2Sb2Te5 during melt-quenching process 2014 NVMTS (The 14th Non-Volatile Memory Technology Symposium) Minho Choi, Jinho Ahn, Young Hwan Kim, and Yong Tae Kim 2014.10.28
160 전극에 따른 계면 스캐빈징 특성 변화 연구 한국반도체디스플레이기술학회 2014년 춘계학술대회 이태훈, 성세종, 정용찬, 박인성, 안진호 2014.06.05
159 원자층증착법을 이용한 코어 / 쉘 나노입자 제조 방법과 특성 연구 한국반도체디스플레이기술학회 2014년 춘계학술대회 성세종, 정용찬, 이태훈, 박인성, 안진호 2014.06.05
158 Metal / Insulator / Metal 구조의 저항기에서 상 / 하부 전극의 차이에 따른 스위칭 특성 연구 한국반도체디스플레이기술학회 2014년 춘계학술대회 정용찬, 성세종, 이태훈, 박인성, 안진호 2014.06.05
157 Imaging performance of phase shift mask using coherent scattering microscopy 제3회 차세대 리소그래피 학술대회 이승민, 안진호 2014.04.10
156 극자외선 리소그래피 high-NA용 half-tone 위상변위마스크 구조 제3회 차세대 리소그래피 학술대회 김정식, 홍성철, 이재욱, 이승민, 김정환, 송현민, 안진호 2014.04.10
155 Numerous Challenges of EUV Lithography 제3회 차세대 리소그래피 학술대회 안진호 2014.02.25
154 Ge 기판의 S처리를 이용한 Charge-Trapping Type 소자의 메모리 특성연구 제 21회 한국반도체 학술대회 이명완, 정용찬, 성세종, 박인성, 안진호 2014.02.25
153 Estimating Electrical and Optical Properties of 1D Metal Grid Transparent Electrode on SiO2 Substrate 제 21회 한국반도체 학술대회 이길복, 안진호 2014.02.25
152 The speed improvement of In3SbTe2 phase change material by doping Bi element 제 21회 한국반도체 학술대회 최민호, 김용태, 안진호 2014.02.25
151 C/H pattern의 photon shot noise effect 정량화를 위한 stochastic simulation 제 21회 한국반도체 학술대회 김정식, 홍성철, 이승민, 정시준, 이재욱, 안진호 2014.02.25
150 The suggestion of half-tone phase-shift mask for high-NA EUVL 제 21회 한국반도체 학술대회 최민호, 김용태, 안진호 2014.02.25
149 Study of Etching properties of Nickel Absorber for EUV mask 제 21회 한국반도체 학술대회 김정식, 홍성철, 이승민, 정시준, 이재욱, 안진호 2014.02.25
148 2D ZrO2 nanoparticle photonic crystal double side coated Y3AI5O12:Ce3+ phosphor plate for white light emitting diodes 제3회 나노 임프린트, 몰딩, 프린트 학술대회 박철균, 고기영, 이헌, 안진호 2014.02.13
147 디스플레이 백플레인용 노광장치에서 해상력 향상을 위한 Rim Type Phase Shift Mask 시뮬레이션 평가 친환경 전자재료 및 나노기술 학술대회(ENGE2013) 김종석, 정시준, 김정식, 이재욱, 홍성철, 이승민, 안진호 2013.10.25
146 2차원 광결정 구조에 따른 박막 형광체의 발광 효율 비교 친환경 전자재료 및 나노기술 학술대회(ENGE2013) 박철균, 김기강, 안진호 2013.10.25
145 In3SbTe2(IST) 상변화 물질 내 Bi 도핑에 의한 특성 변화 연구 친환경 전자재료 및 나노기술 학술대회(ENGE2013) 최민호, 안진호 2013.10.25
144 높은 개구율을 갖는 은과 알루미늄 1차원 그리드의 투명전극으로서의 광투와 연구 친환경 전자재료 및 나노기술 학술대회(ENGE2013) 이길복, 안진호 2013.10.25
143 회절광 현미경을 이용한 극자외선 마스크 계측 및 검사 친환경 전자재료 및 나노기술 학술대회(ENGE2013) 이재욱, 홍성철, 이승민, 정시준, 김종석, 김정식, 안진호 2013.10.25
142 X선 반사율 측정법을 이용한 극자외선 리소그래피용 마스크 구조체 물질의 광학상수계산 제2회 차세대 리소그라피 학술대회 이승민, 이재욱, 홍성철, 정시준, 김종석, 김정식, 안진호, 황보창권 2013.04.12
141 위상복원 알고리즘을 이용한 EUV 마스크의 이미징 영향분석 제2회 차세대 리소그라피 학술대회 이재욱, 홍성철, 이승민, 정시준, 김종석, 김정식, 안진호 2013.04.12
140 극자외선 리소그래피용 포톤샷 노이즈 감소를 위한 감쇠형 위상변위 마스크 제2회 차세대 리소그라피 학술대회 홍성철, 이재욱, 이승민, 정시준, 김종석,김정식, 안진호 2013.04.12
139 G,H,I선 복합파장 노광기에서 위상변이 마스크를 사용한 해상력 향상에 관한 연구 제2회 차세대 리소그라피 학술대회 김종석, 이재욱, 홍성철, 이승민, 정시준, 김정식, 안진호 2013.04.12
138 The measurement and image reconstruction of EUV mask using coherent scattering microscopy 제2회 차세대 리소그라피 학술대회 이재욱, 홍성철, 이승민, 정시준, 김종석, 김정식, 안진호 2013.04.11
137 The Fabrication and Evaluation of Attenuated Phase Shift Mask for EUV Lithography 제 20회 한국반도체 학술대회 이재욱, 홍성철, 이승민, 정시준, 안진호 2013.02.06
136 Beyond EUV Lithography용 다층박막 물질 평가 제 20회 한국반도체 학술대회 홍성철, 정시준, 이재욱, 이승민, 성세종, 안진호 2013.02.05
135 Enhanced light extraction from red phosphor films via nano-imprint lithography using zirconia nanoparticles 25th International Microprocesses and Nanotechnology Conference (MNC 2012) KiKang Kim 2012.11.01
134 Microstructures of HfO2 thin films on Si and Ge evaluated using small angle neutron scattering International Union of Materials Research Socities-International Conference in Asia 2012 (IUMRS-ICA 2012) Tae-Gyu Shin, Baek-Seok Seong, Kyoung-min Ryu, YongChan Jung, Jinho Ahn, and In-Sung Park 2012.08.28
133 극자외선 리소그래피 용 얇은 하프톤 위상천이마스크 구조의 제시 제 1회 차세대 리소그래피 학술대회 홍성철,이상설,도종걸,이재욱 2012.02.10
132 극자외선 리소그라피 마스크의 새로운 흡수체물질 평가 제 1회 차세대 리소그래피 학술대회 이재욱,이상설,도종걸,홍성철 2012.02.10
131 Coherent Scattering Microscope for EUV mask 제 1회 차세대 리소그래피 학술대회 2012.02.10
130 크기가 다른 나노스피어를 이용한 나노스피어 리소그래피 제 1회 차세대 리소그래피 학술대회 이길복 2012.02.09
129 Integration of Coherent Scattering Microscope for EUV mask The 23rd Synchrotron Radiation User's Workshop & KOSUA Meeting 이재욱,도종걸,이상설 2011.11.17
128 Fabrication of 2D Photonic Crystal Layers by Reversal Nanoimprint of TiO2 Nanoparticles and Atomic Layer Deposition International Conference on Advanced Electromaterials (ICAE 2011) 허은진,이길복,최윤식 2011.11.10
127 Fabrication of 2D Metal Oxide (SiO2, Al2O3, TiO2) Nanoparticles Patterns by Nanoimprint Lithography International Conference on Electronic Materials and Nanotechnology for Green Environment(ENGE2011) 허은진,이길복,최윤식 2011.10.28
126 NWFET-type biosensor with a microfluidic device for detecting charged molecules 2011 한국물리학회 CHOI Minho, PARK Sang-Won, HWANG Yeong-Hyeon, CHO Won-Ju, AHN Jinho, KIM Yong Tae 2011.10.20
125 Integration of Stand-Alone Coherent Scattering Microscope with FemtoSecond Laser based EUV source 2011 International Symposium on Extreme Ultraviolet Lithography Jae Uk Lee, Seong Chul Hong, Sangsul Lee, Jonggul Do and Jinho Ahn 2011.10.18
124 Fabrication of 2D metal oxide patterns from nanoparticle (SiO2, Al2O3, TiO2) by direct nanoimprint lithography 제 108회 대한화학회 학술발표회 허은진 2011.09.29
123 Fabrication of 2D TiO2 nanoholes from TiO2 nanoparticles by nanoimprint method 제 107회 대한화학회 허은진,최윤식 2011.04.28
122 Optimization of thin half-tone phase shift mask stack for Extreme Ultraviolet Lithography 2011년도 대한금속 재료학회 춘계학술대회 이재욱,정창영,도종걸,이상설 2011.04.22
121 Process development for high aspect ratio patterns with combined imprint techniques 정창영, 심규현, 도종걸 제18회 반도체학술대회 2011.02.17
120 Dielectric and Metal Electrode Effects on Metal-Insulator-Metal Capacitor for Radio Frequency and Analog/Mixed-Siganl Integrated Circuits 제20회 유전체물성 심포지엄 & 제 12회 강유전체 소자 / 소재 워크숍 류경민 2011.02.14
119 Oxygen pressure effect on optical properties of reactively sputtered ZnO thin films 제20회 유전체물성 심포지엄 & 제 12회 강유전체 소자 / 소재 워크숍 박정혁 2011.02.14
118 금속-절연체 상전이 이산화바나듐 박막의 형성 및 광학적 특성 2010년도 한국재료학회 박승준, 권순우, 안진호, 윤대호, 이한영, 양우석 2010.05.01
117 Enhanced Interface and Bulk Properties of HfO2 Film at Metal-Insulator-Ge Capacitors 제17회 한국반도체학술대회 최영재, 고기영, 박인성, 안진호 2010.02.01
116 development of Mask Contamination/Inspection System for Extreme Ultra Violet Lithography 제17회 한국반도체학술대회 이상설, 정창영, 신현덕, 도종걸, 이동근, 김성수, 조한구, 나승유, 안진호 2010.02.01
115 Electrical Characteristics of Metal-Oxide-Semiconductor Capacitors with High Mobolity Substrates 제16회 한국반도체학술대회 2009
114 The Study of Bi-Layer ArF Phase Shift mask for High Transmittance Material 제16회 한국반도체학술대회 2009
113 Overview of Infrastructure for EUV Lithography 제20차 방사광이용자연구발표회 2008
112 The development status of EUVL test bed at PAL 11B beamline 제20차 방사광이용자연구발표회 2008
111 Resistance switching characteristics of HfO2 thin film with voltage pulse mode operation 2008년도 대한금속, 재료학회 추계 학술대회 2008
110 Electrical characteristics of atomic layer deposition HfO2 High-k dielectric layer on Ge substrate 2008년도 대한금속, 재료학회 추계 학술대회 2008
109 반사 광학을 이용한 극자외선 노광기술 2008 금속재료학회 2008
108 Development of attenuated PSM mask for extreme ultra violet lithography with minmized mask shadowing effect 제15회 반도체 학술대회 2008
107 Out-gassing study of EUV resist 제15회 반도체 학술대회 2008
106 Characteristics of Phase Shift Mask for Extreme Ultraviolet Lithography 14회 반도체 학술대회 2007
105 Resistives switching characteristics of binary oxides 13회 반도체학술대회 2006
104 The Absober ShapeDependencyofPatternPrintabilityforExtremeUltraviolet Lithography(EUVL) 13회 반도체학술대회 2006
103 Femtosecond Laser Interference Structuring of Amorphous Silicon by TiO2 Phase Grating Fabricated Using Lift-off Technique 13회 반도체학술대회 2006
102 study of a thin Al2O3 / TaN absorber stack on Ru-capped multilayers 13회 반도체학술대회 2006
101 Mo 전극을 이용한 HfO2 MOS capacitor 의 전기적 특성과 신뢰성 13회 반도체학술대회 2006
100 Fabrication of optimized absorber stack on Ru capped multilayer 2006 금속재료학회 2006
99 극자외선 노광공정용 반사 다층박막의 capping layer 특성분석 2006 금속재료학회 2006
98 Resistive Switching Characteristies of HfO2 Deposited by Atomic Layer Deposition 15회 강유전체 연합 심포지업 2006
97 Metal precursor effect on deposition and interfacial characteristies of HfO2 dielectries grown by atomic layer deposition 15회 강유전체 연합 심포지업 2006
96 Nano-structure fabrication without etching process using PDMS transcription method 2005 추계 IMAPS, 한양대학교 2005
95 비점착성 SiO2 층을 이용한 나노임프린트용 템플릿 제작 연구 2005 추계 재료학회 2005
94 리소그래피분과 로드맵 발표 2005 한국반도체 및 디스플레이장비학회 추계학술대회 2005
93 Resistance Switching Enhancement in Multi-step Deposition by Multi-deposition and Multi-anneal 2005 한국반도체 및 디스플레이장비학회 추계학술대회 2005
92 Charateristics of hybrid mask mold for combined nanoimprint and photolithography technique 2005 한국반도체 및 디스플레이장비학회 추계학술대회 2005
91 극자외선 노광 공정용 마스크 제작 공정의 최적화 연구 2005년 한국재료학회 춘계학술 발표대회 2005
90 TiO2 박막의 두께 및 열처리 온도에 따른 광학적 특성 분석 2005년 한국재료학회 춘계학술 발표대회 2005
89 TiO2 박막의 다층 증착에 의한 Re-RAM 특성 변화 2005년 한국재료학회 춘계학술 발표대회 2005
88 나노 임프린트 리소그라피를 이용한 template 제작 방법에 관한 연구 2005년 한국재료학회 춘계학술 발표대회 2005
87 Ru / Ti bilayer metal electrode를 이용한 게이트 일함수 조절 2005년 한국재료학회 춘계학술 발표대회 2005
86 Structure Studies of In2O3 Structures prepared by Metalorganic Chemical Vapor Deposition 제12회 한국반도체학술대회 2005
85 Shadow effect reduction by side wall structure modification of extreme ultraviolet lithography mask 제12회 한국반도체학술대회 2005
84 Development of Resist Characterization System for EUVL using a synchrotron Light Source 제12회 한국반도체학술대회 2005
83 EUV 리소그라피용 multilayer의 반사도 향상 특성 제12회 한국반도체학술대회 2005
82 Thin Gate Oxides Prepared by Hydrogen-Assisted Low Pressure Radical Oxidation for DRAM Devices Designated to 300mm Wafers Mass Production 제12회 한국반도체학술대회 2005
81 Electrical properties of ALD-HfO2 using H2O and D2O as an oxidant 제12회 한국반도체학술대회 2005
80 Defect Characterization of Ru / Mo / Si EUV Reflector by Optical Modeling 제12회 한국반도체학술대회 2005
79 Micro-wall 구조를 이용한 E-paper 2004년도 한국마이크로전자 및 패키징 학회 추계 기술 심포지움 2004
78 나노 임프린트와 포토 리소그라피 기술을 접목시킨 나노금 패터닝에 관한 연구 2004년 대한 금속 재료학회 2004
77 극자외선 노광공정용 반사미러의 성능향상을 위한 연구 2004년 대한 금속 재료학회 2004
76 Solid phase reaction을 통해 제조한 HfSiON의 특성 2004 한국재료학회 추계학술발표대회 2004
75 ECR plasma etching을 이용한 2차원 photonic crystal structure 제작 및 특성평가에 관한 연구 2004 한국재료학회 추계학술발표대회 2004
74 ArF lithography에서 발생하는 patterning issue를 극복하기 위한 new lithography 방법에 대한 연구 2004 한국재료학회 추계학술발표대회 2004
73 Micro-wall 구조를 적용한 e-paper의 제작 2004 한국재료학회 추계학술발표대회 2004
72 UV 차단 금속막 (hybrid mold mask)를 이용한 잔류층이 없는 UV-imprint patterning에 관한 연구 2004 한국재료학회 추계학술발표대회 2004
71 TiO2 나노 분말을 이용한 전자종이용 잉크 제조 2004년 한국세라믹학회 추계학술대회 2004
70 Recent Progress on EUVL Mask Research 제11회 한국반도체 학술대회 2004
69 Midgap Nb gate compatible with Hfo2 제11회 한국반도체 학술대회 2004
68 The thermal and electrical properties of TaN electrode on HfO2 gate dielectric 제11회 한국반도체 학술대회 2004
67 EUV 리소그라피용 마스크로서의 Mo / Ru / Si multilayer의 특성분석 제11회 한국반도체 학술대회 2004
66 profile control of deep trench Si etch using SF / O2 plasma 제11회 한국반도체 학술대회 2004
65 HfO2 dielectric을 이용한 reactive sputtering TaN gate electrode의 특성분석 2003년도 한국마이크로전자 및 패키징 학회 추계 기술 심포지움 2003
64 Low-energy EPL 마스크 구현을 위한 특성연구 2003년도 한국마이크로전자 및 패키징 학회 추계 기술 심포지움 2003
63 Mo / Si 다층박막의 반사도에 영향을 미치는 인자 연구 2002년도 한국마이크로전자 및 패키징 학회 추계 기술 심포지움 2002
62 ECR 플라즈마를 이용한 Ru 박막의 식각특성 2002년도 한국마이크로전자 및 패키징 학회 추계 기술 심포지움 2002
61 Characterization of Hf02 / SiON stack structure for gate dielectrics 2002년도 한국마이크로전자 및 패키징 학회 추계 기술 심포지움 2002
60 ALD로 증착시킨 HfO2 / SiON 게이트 유전물질의 특성 한국재료학회 추계학술논문발표회 2002
59 0.15㎛이하 gate 전극용 건식식각 공정에 관한 연구 한국재료학회 추계학술논문발표회 2002
58 Capacitor 전극용 Ru 박막의 식각 특성 한국재료학회 추계학술논문발표회 2002
57 극자외선 노광공정용 Ru / Mo / Si 반사형 다층박막 미러의 증착에 관한 연구 한국재료학회 추계학술논문발표회 2002
56 MOCVD를 이용하여 증착시킨 Hafnium oxide박막의 전기적 특성 연구 한국재료학회 추계학술논문발표회 2002
55 MOCVD를 이용한 Hafnium Oxide 박막증착 2002년도 한국마이크로전자 및 패키징 학회 춘계 기술 심포지움 2002
54 Ru / Mo / Si 다층박막 구조를 가지는 노광공정용 반사형 다층박막 미러의 제조 2002년도 한국마이크로전자 및 패키징 학회 춘계 기술 심포지움 2002
53 Etching characteristics of platinum films using SF6 / Ar plasma 제 9회 반도체 학술대회 2002
52 Characteristics of Atomic-layer-Depositied Hafnium Oxide Gate Dielectrics 제 9회 반도체 학술대회 2002
51 Mo / Si Multilayer for EUVL Lithography applications 제 9회 반도체 학술대회 2002
50 Comparison of evaluation techniques for ultrathin HfO2 gate dielectrics SEMICON Korea 2002
49 Methodology for manufacturing highly reflective EUV masks SEMICON Korea 2002
48 극자외선 노광공정용 Mo / Si 다층박막 미러의 구조 분석 한국 마이크로전자 및 패키징학회 2001
47 ECR 플라즈마를 사용한 백금박막의 식각 매카니즘에 관한 연구 한국 마이크로전자 및 패키징학회 2001
46 극자외선 노광공정용 Mo / Si 반사형 다층박막의 특성 연구 한국재료학회 추계학술논문발표회 2001
45 SF6 / Ar 플라즈마를 사용한 개패시터 전극용 백금박막의 식각 특성 한국재료학회 추계학술논문발표회 2001
44 LIGA process용 x-ray mask 연구 한국재료학회 춘계학술논문발표회 2001
43 원자층증착법을 이용한 Hafnium oxide박막의 전기적 특성 한국재료학회 춘계학술논문발표회 2001
42 Multi-target sputtering을 이용한 Mo / Si X-ray 반사형 다층박막 증착에 관한 연구 한국재료학회 춘계학술논문발표회 2001
41 EUVL 응용을 위한 Mo / Si 박막 특성 전산모사 한국세라믹학회 2001년도 춘계학술연구발표회 2001
40 AlN 압전 박막을 이용한 브라그 반사층을 갖는 체적탄성파 공진기의 주파수 응답 한국세라믹학회 2001년도 춘계학술연구발표회 2001
39 Fine Ta patterning by ECR Chlorine Plasma 제8회 한국반도체학술대회 2001
38 SiCxNy박막에 대한 LIGA용 mask membrane으로서의 적용 가능성에 관한 연구 제8회 한국반도체학술대회 2001
37 Study on low-k polyimide etching with SF6 / O2 plasma 제8회 한국반도체학술대회 2001
36 Triode type carbon nanotube field emitter arrays directly grown into the holes formed on Si substrate 한국 정보디스플레이학회 2000
35 염소플라즈마를 이용한 탄탈륨 미세패턴 식각특성 한국재료학회 추계학술논문발표회 2000
34 ECR plasma CVD를 이용한 LIGA용 X-ray mask membrane SiCxNy박막의 증착 한국 마이크로전자 및 패키징학회 2000
33 Fabrication of the triode structure FEA using the directly grown CNTs on SiO2-Si sustrate and field emission properties 한국물리학회 2000
32 Field electron emission from the diode structure nanotube emitters at low field 한국물리학회 2000
31 Catalytic metal effect on the growth characteristics of carbon nanotubes 한국물리학회 2000
30 탄소 나노튜브를 이용한 삼극관 구조의 전계방출 소자 제조 Field Emission Workshop 2000 2000
29 Etching Characteristics of Fine Ta Patterns with Electron Cyclotron Resonance Chlorine Plasma 5th International Symposium on Microelectronics and Packaging 2000
28 Properties of Interlayer Low Dielectric Polyimide During Aluminum Etching with Electron Cyclotron Resonance Etcher System 5th International Symposium on Microelectronics and Packaging 2000
27 The effect of heat treatments on the electron emission of amorphous carbon film deposited by RF magnetron sputtering method 제7회 한국반도체학술대회 2000
26 Deposition and characterization of pure Ta and TaX films For next generation mask applications 제7회 한국반도체학술대회 2000
25 카본 박막을 이용한 다이오드형 평면 에미터의 전자 방출 특성에 관한 연구 한국물리학회 추계학술논문발표회 1999
24 차세대 리소그래피용 매스크 기술 동향 대한금속학회 Thin Film Symposium 1999
23 MnO2 첨가에 의한 0.9PMN-0.1PT 완화형 강유전체의 압전물성 변화 한국재료학회 춘계학술대회 / 박주영, 박재환, 안진호, 김윤호 1999
22 Al/polyimide 구조에서의 알루미늄 식각에 관한 연구 한국재료학회 춘계학술대회 / 문호성, 김상훈, 우상균, 이홍구, 김경석, 임승택, 이승윤, 안진호 1999
21 탄탈륨 합금을 이용한 X-선 흡수체 물성 연구 한국재료학회 춘계학술대회 / 김경석, 고중규, 박성영, 이승윤, 이홍구, 임승택, 안진호 1999
20 저유전상수 절연막 polyimide의 SF6 플라즈마 처리에 의한 특성 연구 제 6회 반도체학술대회 / 김상훈, 이홍구, 문호성, 안진호 1999
19 Optimum design of illumination aperture with high throughput on ArF exposure system 제 6회 반도체학술대회 / 차한선, 최상기, 김종수, 정혜빈, 조경익, 안진호 1999
18 Micromachining가술을 이용한 초소형 자기공명 센서구조 연구 한국재료학회 추계학술대회 / 고중규, 김경석, 안진호 1998
17 고밀도 플라즈마를 이용한 다층 금속 박막층의 식각 특성 연구 한국재료학회 추계학술대회 / 이홍구, 문호성, 김상훈, 안진호 1998
16 Sub-quarter-micron 노광을 위한 X-선 마스크 재료로서의 tantalum과 silicon carbide 물성에 관한 연구 한국재료학회 추계학술대회 / 강정호, 이승윤, 임승택, 안진호 1998
15 Deposition of low stress, high transmittance SiC as an x-ray mask membrane using ECR plasma CVD 제5회 한국반도체학술대회 / 이승윤, 송기창, 전영삼, 박칠근, 이돈희, 김태영, 권현자, 이정수, 강정호, 이태호, 안진호 1998
14 금속박 패터닝을 위한 새로운 반사방지막 구조 제5회 한국반도체학술대회 / 차한선, 최상수, 김종수, 김도훈, 이각현, 안진호, 정혜빈, 김보우 1998
13 A study on the anisotropic etching of tungsten-nitride using SF6 plasma 제5회 한국반도체학술대회 / 정창영, 송기창, 전영삼, 박칠근, 이돈희, 김태영, 안진호 1998
12 Mask fabrication technology for X-ray lithography 제3회 Lithography Workshop / 안진호 1997
11 ICP 플라즈마를 이용한 WNx의 이방성 식각 한국재료학회 추계학술대회 / 정창영, 송기창, 전영삼, 박칠근, 이돈희, 안진호 1997
10 금속막 패터닝을 위한 새로운 반사 방지막 구조 한국재료학회 추계학술대회 / 차한선, 김종수, 최상수, 정해빈, 안진호 1997
9 X-선 마스크용 W-Ti 흡수체의 Ti 함량 변화에 따른 특성변화 한국재료학회 추계학술대회 / 이규한, 고중규, 이홍구, 안진호 1997
8 ECR CVD를 이용한 x-ray lithography membrane용 SiC의 증착 한국재료학회 추계학술대회 / 이승윤, 이규한, 송기창, 안진호 1997
7 X-선 마스크 흡수체로써 텅스텐나이트라이드 이중층의 응력과 미세구조 1997년도 한국재료학회 춘계학술대회 / 이태호, 정창영, 안진호 1997
6 Anisotropic Etching of Tungsten with ICP system 제4회 반도체 학술대회 / 안진호 외 4명 1997
5 텅스텐 스퍼터링시 박막의 응력조절 한국재료학회 추계학술대회 / 이태호, 이규한, 박칠근, 안진호 1996
4 X-선 마스크 제작을 위한 텅스텐 식각 한국재료학회 추계학술대회 / 정창영, 이돈희, 송기창, 전영삼, 안진호 1996
3 LPCVD, PECVD, ECR plasm CVD를 이용한 SiN막질의 비교 한국재료학회 춘계학술대회 /이태호, 이규한, 이승윤, 정창영, 안진호 1996
2 HV ECR plasma CVD를 이용한 X-ray lithography용 SiNx membrane 한국재료학회 춘계학술대회 / 안진호 1995
1 Experimental validation of particle impact on thermo-mechanical behavior of EUV pellicle