한양대 나노 공정 및 소자 연구실 로고

Journals-

HOME > Publication > Journals


Num Title Author Journal Publish date
189 Study on ZrSi2 as a Candidate Material for Extreme Ultraviolet Pellicles Seong Ju Wi, Won Jin Kim, Haneul Kim, Dongmin Jeong, Dong Gi Lee, Jaehyuck Choi, Sang Jin Cho, Lan Yu and Jinho Ahn Membranes 2023.08.14
188 Extreme ultraviolet pellicle wrinkles influence on mask 3D effects: experimental demonstration Dong Gi Lee, Seungchan Moon, Jinhyuk Choi, Seong Ju Wi, and Jinho Ahn Applied Optics 2023.08.11
187 Investigating the Degradation of EUV Transmittance of an EUV Pellicle Membrane Seong Ju Wi, Yong Ju Jang, Dong Gi Lee, Seon Yong Kim, and Jinho Ahn Membranes 2022.12.21
186 Near-field infrared nanoscopic study of EUV-and e-beam-exposed hydrogen silsesquioxane photoresist Jiho Kim, Jin-Kyun Lee, Boknam Chae, Jinho Ahn and Sangsul Lee Nano Convergence 2022.12.02
185 Effect of wrinkles on extreme ultraviolet pellicle reflectivity and local critical dimension Dong Gi Lee, Young Woong Kim, Seungchan Moon, and Jinho Ahn Applied Optics 2022.07.05
184 Atomic layer etching of SiO2 using trifluoroiodomethane Seon Yong Kim, In-Sung Park, and Jinho Ahn Applied Surface Science 2022.07.01
183 Actinic patterned mask imaging using extreme ultraviolet ptychography microscope with high harmonic generation source Young Woong Kim, Dong Gi Lee, Seungchan Moon, Chang Mo Ku, Joong Hwee Cho and Jinho Ahn Applied Physics Express 2022.06.17
182 Investigation of the Resistivity and Emissivity of a Pellicle Membrane for EUV Lithography Seong Ju Wi, Yong Ju Jang, Haneul Kim, Kyeongjae Cho and Jinho Ahn Membranes 2022.03.26
181 High wet etch resistance SiO2 films deposited by plasma-enhanced atomic layer deposition with 1,1,1-tris(dimethylamino)disilane Su Min Hwang, Harrison Sejoon Kim, Dan N. Le, Akshay Sahota, Jaebeom Lee, Yong Chan Jung, Sang Woo Kim, Si Joon Kim, Rino Choi, Jinho Ahn, Byung Keun Hwang, Xiaobing Zhou, and Jiyoung Kim Journal of Vacuum Science & Technology A 2022.02.11
180 Impact of thermal expansion coefficient on the local tilt angle of extreme ultraviolet pellicle Junghwan Kim, Haneul Kim, Jinho Ahn Journal of Micro/Nanopatterning, Materials, and Metrology 2022.02.01
179 Microstructures of HfOx Films Prepared via Atomic Layer Deposition Using La(NO3)3·6H2O Oxidants Seon Yong Kim, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park and Jinho Ahn Materials 2021.12.06
178 Highly Reliable Selection Behavior with Controlled Ag Doping of Nano-polycrystalline ZnO Layer for 3D X-Point Framework Akshay Sahota, Harrison Sejoon Kim, Jaidah Mohan, Yong Chan Jung, Heber Hernandez-Arriaga, Dan N Le, Si Joon Kim, Jang-Sik Lee, Jinho Ahn, Jiyoung Kim IEEE Electron Device Letters 2021.11.25
177 Nano-polycrystalline Ag-doped ZnO layer for steep-slope threshold switching selectors Akshay Sahota, Harrison Sejoon Kim, Jaidah Mohan, Dan N Le, Yong Chan Jung, Si Joon Kim, Jang-Sik Lee, Jinho Ahn, Heber Hernandez-Arriaga, Jiyoung Kim AIP Advances 2021.11.01
176 A new route of synthesizing atomically thin 2D materials embedded in bulk oxides Jeongwoon Hwang, Jongchan Kim, Yifan Nie, Byoung Hun Lee, Jinho Ahn, Jiyoung Kim, Myung Mo Sung, and Kyeongjae Cho Journal of Applied Physics 2021.07.15
175 Memory Operation of Z²-FET Without Selector at High Temperature Sehyun Kwon, Carlos Navarro, Francisco Gamiz, Sorin Cristoloveanu, Yong Tae Kim, and Jinho Ahn IEEE Journal of the Electron Devices Society 2021.07.02
174 Impact of residual stress on the deflection of extreme ultraviolet pellicles Junghwan Kim, Haneul Kim, and Jinho Ahn Journal of Micro/Nanopatterning, Materials, and Metrology 2021.05.25
173 Plasma-enhanced atomic-layer deposition of nanometer-thick SiNx films using trichlorodisilane for etch-resistant coatings S.M. Hwang, H.S. Kim, D.N. Le, A.V. Ravichandran, A. Sahota, J. Lee, Y.C. Jung, S.J. Kim, J. Ahn, B.K. Hwang, L. Lee, X. Zhou, and J. Kim ACS Applied Nano Materials 2021.03.26
172 Improved Retention Characteristics of Z2-FET Employing Half Back-Gate Control Sehyun Kwon, Carlos Navarro, Francisco Gamiz, Philippe Galy, Sorin Cristoloveanu, Yong Tae Kim, and Jinho Ahn IEEE Transactions on Electron Devices 2021.02.03
171 High growth rate and high wet etch resistance silicon nitride grown by low temperature plasma enhanced atomic layer deposition with a novel silylamine precursor H.S. Kim, S.M. Hwang, X. Meng, Y.-C. Byun, Y.C. Jung, A. Ravichandran, A. Sahota, S.J. Kim, J. Ahn, L. Lee, X. Zhou, B.K. Hwang, and J. Kim Journal of Materials Chemistry C 2020.08.19
170 Low temperature thermal atomic layer deposition of aluminum nitride using hydrazine as the nitrogen source Y.C. Jung, S.M. Hwang, D.N. Le, A.L.N. Kondusamy, J. Mohan, S.W. Kim, J.H. Kim, A.T. Lucero, A. Ravichandran, H.S. Kim, S.J. Kim, R. Choi, J. Ahn, D. Alvarez, J. Spiegelman, and J. Kim Materials 2020.07.31
169 A Comprehensive Study on the Effect of TiN Top and Bottom Electrodes on Atomic Layer Deposited Ferroelectric Hf0.5Zr0.5O2 Thin Films Si Joon Kim, Jaidah Mohan, Harrison Sejoon Kim, Su Min Hwang, Namhun Kim, Yong Chan Jung, Akshay Sahota, Kihyun Kim, Hyun-Yong Yu, Pil-Ryung Cha, Chadwin D. Young, Rino Choi, Jinho Ahn and Jiyoung Kim Materials 2020.07.02
168 Enhanced resistive switching characteristics of HfOx insulator fabricated by atomic layer deposition and La(NO3)36H2O solution as catalytic oxidant Yong Chan Jung, In-Sung Park, Sejong Seong, Taehoon Lee, Seon Yong Kim, Jinho Ahn Journal of Vacuum Science & Technology A 2020.03.23
167 Memory Operations of Zero Impact Ionization, Zero Subthreshold Swing FET Matrix Without Selectors S. Kwon, C. Navarro, P. Galy, S. Cristoloveanu, F. Gamiz, J. Ahn, and Y.-T. Kim IEEE ELECTRON DEVICE LETTERS 2020.03.20
166 Ozone based high-temperature atomic layer deposition of SiO2 thin films Su Min Hwang, Zhiyang Qin, Harrison Sejoon Kim, Arul Ravichandran, Yong Chan Jung, Si Joon Kim, Jinho Ahn, Byung Keun Hwang, and Jiyoung Kim Japanese Journal of Applied Physics 2020.03.06
165 Fabrication of free-standing nanoscale SiNx membranes with enhanced burst pressure via improved etching process. Hyeon-Jin Shin,In-Sung Park,Yong Ju Jang,Seong Ju Wi, Gi Sung Lee, Jinho Ahn Sensors and Actuators A: Physical 2019.10.01
164 Performance of Extreme Ultraviolet Coherent Scattering Microscope Young Woong Kim, Dong Gon Woo, Jinho Ahn Journal of Nanoscience and Nanotechnology 2019.10.01
163 Through-pellicle imaging of extreme ultraviolet mask with extreme ultraviolet ptychography microscope Dong Gon Woo, Young Woong Kim, Yong Ju Jang, Seong Ju Wi, Jinho Ahn Journal of Micro / Nanolithography, MEMS, and MOEMS 2019.09.28
162 Synthesis of Ag-ZnO core-shell nanoparticles with enhanced photocatalytic activity through atomic layer deposition Sejong Seong, In-Sung Park, Yong Chan Jung, Taehoon Lee, Seon Yong Kim, Ji Soo Park, Jae-Hyeon Ko, Jinho Ahn Materials & Design 2019.09.05
161 Material design for Ge2Sb2Te5 phase-change material with thermal stability and lattice distortion Minho Choi, Heechae Choi, Jinho Ahn, Yong Tae Kim Scripta Materialia 2019.09
160 Crystallized ZnO films by inserting the inert metal on ITO and their improved on/off current performance Taehoon Lee, In-Sung Park, Yong Chan Jung, Sejong Seong, Seon Yong Kim, Jinho Ahn Materials Science in Semiconductor Processing 2019.07
159 Fe3O4–ZnO Core–Shell Nanoparticles Fabricated by Ultra-Thin Atomic Layer Deposition Technique as a Drug Delivery Vehicle Sejong Seong,In-Sung Park,Yong Chan Jung,Taehoon Lee,Seon Yong Kim,Su-Jae Lee,Jinho Ahn Electronic Materials Letters 2019.04
158 Characteristics of band modulation FET on sub 10 nm SOI Sehyun Kwon, Carlos Navarro, Francisco Gamiz, Sorin Cristoloveanu, Phileppe Galy, Minho Choi, Yong Tae Kim and Jinho Ahn Japanese Journal of Applied Physics 2019.02.22
157 Interface-driven Phase Transition of Phase-change Material (Cover paper) Minho Choi, Heechae Choi, Jinho Ahn, and Yong Tae Kim Crystal Growth & Design 2019.02.15
156 Understanding of relationship between dopant and substitutional site to develop novel phase-change materials based on In3SbTe2 Minho Choi, Heechae Choi, Jinho Ahn, and Yong Tae Kim Japanese Journal of Applied Physics 2019.02.04
155 Effects of hydrogen annealing temperature on the resistive switching characteristics of HfOx thin films Yong Chan Jung, Sejong Seong, Taehoon Lee, Seon Yong Kim, In-Sung Park, Jinho Ahn Materials Science in Semiconductor Processing 2018.12
154 Removal of EUV exposed hydrocarbon from Ru capping layer of EUV mask using the mixture of alkaline solutions and organic solvents Min-Su Kim, Muthukrishnan Purushothaman, Hyun-Tae Kim, Hee-Jin Song, Young-Woong Kim, Jin-Ho Ahn, Hye-Keun Oh, Jin-Goo Park Colloids and Surfaces A: Physicochemical and Engineering Aspects 2018.06.25
153 Enhanced uniformity in electrical and optical properties of ITO thin films using a wide thermal annealing system Sejong Seong, Yong Chan Jung, Taehoon Lee, In-Sung Park, Jinho Ahn Materials Science in Semiconductor Processing 2018.06.01
152 Effects of electroformed Fe-Ni Substrate Textures on Light-trapping in Thin Film Solar Cells Minsu Lee, Jinho Ahn, Tai Hong Yim International Jourmal of Electrochemical Science 2018.05.10
151 Thickness-dependent and aniotropic thermal conductivity of black phosphorus nanosheets Seong Gi Jeon, Hosun Shin, Yun Hwan Jaung, Jinho Ahn and Jae Yong Song Nanoscale 2018.04.07
150 Improved resistive switching characteristics of a Pt/HfO2/Pt resistor by controlling anode interface with forming and switching polarity Yong Chan Jung, Sejong Seong, Taehoon Lee, Seon Yong Kim, In-Sung Park, and Jinho Ahn Applied Surface Science 2018.03.30
149 Review paper: Mask materials and Designs for Extreme Ultraviolet Lithography Jung Sik Kim, Jinho Ahn Electronic Materials Letters 2018.03.21
148 Direct printing of soluble acene crystal stripes by a programmed dip-coating process for organic field-effect transistor applications Sooji Nam, Yong Jin Jeong, Jaemin Jung, Se Hyun Kim, Jinho Ahn, Kwonwoo Shin and Jaeyoung Jang Journal of Materials Chemistry C 2018.01.28
147 Effects of Y Dopant on Lattice Distortion and Electrical Properties of In3SbTe2 Phase-Change Material (Cover paper) Minho Choi, Heechae Choi, Sehyun Kwon, Seungchul Kim, Kwang-Ryeol Lee, Jinho Ahn, Yong Tae Kim Physica Status Solidi - Rapid Research Letters 2017.09.20
146 Structural, chemical, and electrical properties of Y2O3 thin films grown by atomic layer deposition with an (iPrCp)2Y(iPr-amd) precursor J.-H. Kang, Yong Chan Jung, Sejong Seong, Taehoon Lee, Jinho Ahn, Wontae Noh, In-Sung Park Materials Science in Semiconductor Processing 2017.06.01
145 Uniform dehydrogenation of amorphous silicon thin films using a wide thermal annealing system Yong Chan Jung, Sejong Seong, Taehoon Lee, Jinho Ahn, Tae Hyun Kim, Won-Jae Yeo and In-Sung Park Semiconductor Science and Technology 2017.01.09
144 Nickel and Nickel Oxide Thin Films as Absorber Layer Materias of Extreme Ultraviolet Masks Dong Gon Woo, Jung Sik Kim, Seongchul Hong, Jinho Ahn Nanoscience and Nanotechnology Letters 2017.01.01
143 Fabrication of Fe3O4-ZnO core-shell nanoparticles by rotational atomic layer deposition and their multi-functional properties Sejong Seong, Yong Chan Jung, Taehoon Lee, In-Sung Park, and Jinho Ahn Current Applied Physics 2016.09.22
142 Sub-Resolution Assist Feature in Attenuated Phase-Shift Mask for Extreme Ultraviolet Lithography Jung Sik Kim, Seongchul Hong, Yong Ju Jang and Jinho Ahn Nanosceince and Nanotechnology Letters 2016.09.01
141 Phase Shift Mask to Compensate for Mask 3D Effect in High-Numerical-Aperture Extreme Ultraviolet Lithography Yong Ju Jang, Jung Sik Kim, Seongchul Hong and Jinho Ahn Nanoscience and Nanotechnology Letters 2016.09.01
140 GeOx interfacial layer scavenging remotely induced by metal electrode in metal/HfO2/GeOx/Ge capacitors Taehoon Lee, Yong Chan Jung, Sejong Seong, Sung Bo Lee, In-Sung Park, and Jinho Ahn Applied Physics Letters 2016.07.15
139 Impact of EUV Pellicle Transmittance on Imaging Performance Analyzed by Coherent Scattering Microscopy Dong Gon Woo, Seongchul Hong, Han Ku Cho, and Jinho Ahn Nanoscience and Nanotechnology Letters 2016.07.12
138 Improved Margin of Absorber Pattern Sidewall Angle Using Phase Shifting Extreme Ultraviolet Mask Yong Ju Jang, Jung Sik Kim, Seongchul Hong and Jinho Ahn Nanoscience and Nanotechnology Letters 2016.07.01
137 Influence of annealing temperature on structural and optical properties of undoped and Al-doped nano-ZnO films prepared by Sol-Gel method Vladimir Gevorgyan, Anna Reymers, Mikayel Arzakantsyan, Kilbock Lee, Jinho Ahn, In-Sung Park Science of Advanced Materials 2016.04
136 Coherent scattering microscopy as an effective inspection tool for analyzing performance of phase shift mask Dong Gon Woo, Jae Uk Lee, Seong Chul Hong, Jinho Ahn Optics Express 2016. 05.25
135 Influence of annealing temperature on structural and optical properties of undoped and Al-doped nano-ZnO films prepared by Sol-Gel method Vladimir Gevorgyan, Anna Reymers, Mikayel Arzakantsyan, Kilbock Lee, Jinho Ahn, In-Sung Park Science of Advanced Materials 2016-04-01
134 Effect of EUV photoresist underlayer optical properties on imaging performance Jung Sik Kim, Seongchul Hong, Han Ku Cho, and Jinho Ahn Journal of Vacuum Science and Technology B 2015.11.23
133 Evaluation of metal absorber materials for beyond-extreme-ultraviolet lithography Seongchul Hong, Jung Sik Kim, Jae Uk Lee, Seung Min Lee, Junghwan Kim, Jinho Ahn Journal of Nanoscience and Nanotechnology 2015.11.11
132 Resistive Switching Characteristics of Atomic-Layer-Deposited Y2O3 Insulators with Deposition Temperature Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park, and Jinho Ahn Journal of Nanoscience and Nanotechnology 2015.10.01
131 Lattice Distortion in In3SbTe2 Phase Change Material with Substitutional Bi Minho Choi, Heechae Choi, Seungchul Kim, Jinho Ahn & Yong Tae Kim Scientific Reports 2015.08.11
130 Effects of electroformed Fe-Ni Substrate Textures on Light-trapping in Thin Film Solar Cells Minsu Lee, Jinho Ahn, Tai Hong Yim International Journal of Electrochemical Science 2015.05.10
129 Mechanical deflection of a free-standing pellicle for extreme ultraviolet lithography Eun-Sang Park, Zahid Hussain Shamsi, Ji-Won Kim, Dai-Gyoung Kim, Jin-Goo Park Microelectronic Engineering 2015.04.11
128 The variation of the enhanced PL efficiency of Y2O3:Eu3+ phosphor films with the height to the ZrO2 nanoparticle-assisted 2D PCL by reverse nano-imprint lithography Chulkyun Park, Hyojun Kim, In-Sung Park, Ki-Young Ko, Ki-Kang Kim, Byoung Hun Lee, Jinho Ahn Microelectronic Engineering 2015.03.25
127 Impact of the non-uniform intensity distribution caused by a meshed pellicle of extreme ultraviolet lithography Ki-Ho Ko, Jin-Goo Park, Jin-Ho Ahn, Michael Yeung, Eytan Barouch, Hye-Keun Oh Microelectronic Engineering 2015.03.16
126 Dimensionally controlled complex 3D sub-micron pattern fabrication by single step dual diffuser lithography (DDL) Hassan Hafeez, Heon-Yul Ryu, Il Sin An, Hye-Keun Oh, Jin-Ho Ahn, Jin-Goo Park Microelectronic Engineering 2015.03.10
125 Metal HfO2 Ge capacitor: Its enhanced charge trapping properties with S-treated substrate and atomic-layer-deposited HfO2 layer In-Sung Park, Yong Chan Jung, Sejong Seong, Jinho Ahn, and Sung Bo Lee Journal of Vacuum Science & Technology A 2014.12.23
124 Anode dependence of set voltage in resistive switching of metal/HfO2/metal In-Sung Park, Yong Chan Jung, and Jinho Ahn APPLIED PHYSICS LETTERS 2014.12.04
123 Atomic layer deposition of Y2O3 films using heteroleptic liquid (iPrCp)2Y(iPr-amd) precursor In-Sung Park, Yong Chan Jung, Sejong Seong, Jinho Ahn, Jiehun Kang, Wontae Noh and Clement Lansalot-Matras Journal of Materials Chemistry C 2014.09.11
122 Attenuated phase-shift mask for mitigation of photon shot noise effect in contact hole pattern for extreme ultraviolet lithography Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn Applied Physics Express 2014.08.27
121 Various nanofabrication approaches towards twodimensional photonic crystals for ceramic plate phosphor-capped white light-emitting diodes Seong Woong Yoon,Hoo Keun Park, Ki-Young Ko, Jinho Ahn and Young Rag Do Journal of Materials Chemistry C 2014.08.13
120 Substrate effects on the transmittance of 1D metal grid transparent electrodes Kilbock Lee and Jinho Ahn Optics Express 2014.07.29
119 Dependence of Interface Charge Trapping on Channel Engineering in Pentacene Field Effect Transistors Sunwoo Lee, Junghyuck Park, In-Sung Park, and Jinho Ahn Journal of Nanoscience and Nanotechnology 2014.07.01
118 Dielectric function of Si1-xGex films grown on silicon-on-insulator substrates In-Sung Park, Yong Chan Jung, Jinho Ahn, Tae-Hun Shim, Du-Yeong Lee, and Jea-Gun Park Journal of Applied Physics 2014.06.17
117 Actinic critical dimension measurement of contaminated extreme ultraviolet mask using coherent scattering microscopy Jae Uk Lee, Seongchul Hong, Jonggul Doh, SeeJun Jeong and Jinho Ahn Journal of Vacuum Science and Technology B 2014.04.28
116 Highly sensitive wide bandwidth photodetectors using chemical vapor deposited graphene Chang Goo Kang, Sang Kyung Lee, Tae Jin Yoo, Woojin Park, Ukjin Jung, Jinho Ahn and Byoung Hun Lee Applied Physics Letters 2014.04.21
115 Nanopatterned yttrium aluminumgarnet phosphor incorporated filmfor high-brightness GaN-based white light emitting diodes high-brightness GaN-based white light emitting diodes Joong-yeon Cho, Sang-Jun Park, Jinho Ahn, Heon Lee Thin Solid Films 2014.03.26
114 Nanopatterned yttrium aluminumgarnet phosphor incorporated filmfor high brightness GaN based white light emitting diodes high brightness GaN based white light emitting diodes Joong-yeon Cho, Sang-Jun Park, Jinho Ahn and Heon Lee Thin Solid Films 2014.03.24
113 FDTD simulation of transmittance characteristics of one-dimensional conducting electrodes Killbock Lee, Seok Ho Song and Jinho Ahn Optics Express 2014.03.10
112 Fabrication of Al2O3 Coated 2D TiO2 Nanoparticle Photonic Crystal Layers by Reverse Nano-Imprint Lithography andPlasma Enhanced Atomic Layer Deposition Ki-Kang Kim, Ki-Young Ko, and Jinho Ahn Journal of Nanoscience and Nanotechnology 2013.10.01
111 Nanolithography on Graphene by Using Scanning Scanning Tunneling Microscopy in a Methanol Environment Chulsu Kim, Joonkyu Park, Yongho Seo, Jinho Ahn, and In-Sung Park Microscopy and Microanalysis 2013.09.10
110 Stochastic Patterning Simulation Using Attenuated Phase-Shift Mask for Extreme Ultraviolet Lithography Seongchul Hong, Seejun Jeong, Jae Uk Lee, Seung Min Lee, Jinho Ahn Applied Physics Express 2013.08.12
109 Fabrication of SiC Nanoparticles by Physical Milling for Ink-Jet Printing jong-Woong Kim, Jae-Shik Shim, Changjun Maeng, Young-Sung Kim, Jinho Ahn, Min-Gi Kwak, Sung-Jei Hong and Hyun-Min Cho Journal of Nanoscience and Nanotechnology 2013.08.01
108 Very thin EUV mask absorber materials for extremely fine pitch patterning Jae Uk Lee, Seongchul Hong, Jinho Ahn Japan Society of Applied Physics (Applied Physics Express) 2013.06.17
107 Raman spectroscopic image analysis on micropatterned graphene Wooseok Choi, Joonkyu Park, Jongwan Jung, Yongho Seo, Jinho Ahn, In-Sung Park Micro & Nano Letters 2013.05.01
106 Enhanced Light Extraction from Y2O3: Eu3+ Phosphor Films via Vacuum Nano-Imprint Lithography using Spin-on Dielectric Materials Kilbock Lee, Ki-Young Ko, and Jinho Ahn Thin Solid Films 2013.03.05
105 Improved Imaging Properties of Thin Attenuated Phase Shift Masks for Extreme Ultraviolet Lithography Sangsul Lee, Inhwan Lee, Jong Gul Doh, Jae Uk Lee, Seongchul Hong, and Jinho Ahn Journal of Vacuum Science and Technology B 2013.02.26
104 Dielectric Stacking Effect of Al2O3 and HfO2 in Metal–Insulator–Metal Capacitor In-Sung Park, Kyoung-min Ryu, Jaehack Jeong, and Jinho Ahn IEEE ELECTRON DEVICE LETTERS 2013.01
103 The synthesis of crystalline SnO2 whiskers via a metalorganic chemical vapor dep-osition process Myung Ho Kong, Yong Jung Kwon, Dong Sub Kwak, Tran Van Khai, Jinho Ahn, Kwang Bo Shim, Chongmu Lee, Inpil Kang, No-Hyung Park, Dae-Sup So, Joon Woo Lee and Hyoun Woo Kim Journal of Ceramic Processing Research 2012.12.31
102 Evaluation of Lithographic Performance of EUV Mask Using Coherent Scattering Microscope Jonggul Doh, Jae Uk Lee, and Jinho Ahn Journal of Vacuum Science & Technology B 2012.11.07
101 Removing graphite flakes for preparing mechanically exfoliated graphene sample Kiyeol Kim, Joonkyu Park, Cheolsoo Kim, Wooseok Choi, Yongho Seo, Jinho Ahn, In-Sung Park Micro & Nano Letters 2012.11
100 Fabrication of 2D photonic crystal assisted Y2O3:Eu3+ thin-film phosphors Ki-Young Ko, Eun-Jin Her, William T. Nichols, Heon Lee, Young Rag Do, Jinho Ahn Microelectronic Engineering 2012.09
99 Effect on Critical Dimension Performance for Carbon Contamination of Extreme Ultraviolet Mask Using Coherent Scattering Microscopy and In-situ Contamination System Jonggul Doh, Sangsul Le, Jaewook Lee , Seongchul Hong, Chang Young Jeong,Dong Gun Lee, Seong-Sue Kim, and Jinho Ahn Japanese Journal of Applied Physics 2012.06.20
98 Performance of organic field effect transistors with high-k gate oxide after application of consecutive bias stress Sunwoo Lee, Changhwan Choi, Kilbock Lee, Joong Hwee Cho, Ki-Young Ko, Jinho Ahn Thin Solid Films 2012.03.27
97 Atomic Layer Deposition of Dielectrics on Graphene Using Reversibly Physisorbed Ozone Srikar Jandhyala, Greg Mordi, Bongki Lee, Geunsik Lee, Carlo Floresca, Pil-Ryung Cha, Jinho Ahn, Robert M. Wallace, Yves J. Chabal, Moon J. Kim, Luigi Colombo,z Kyeongjae Cho, and Jiyoung Kim ACS Nano 2012.02.21
96 Suppressed Thermally Induced Flatband Voltage Instabilities with Binary Noble Metal Gated Metal–Oxide–Semiconductor Capacitors Changhwan Choi, Jinho Ahn, and Rino Choi Japanese Journal of Applied Physics 2012.02.20
95 Impact of ozone concentration on atomic layer deposited HfO2 on GaAs K. J. Chung, T. J. Park, P. Sivasubramani. J. Kim and J. Ahn Microelectronic Engineering 2012.01
94 Structure, Raman, and photoluminescence properties of SnO2/MgO core-shell nanowires Huh Hoon,Park No-Hyung, Na Han Gil, Kwak Dong Sub, Ahn Jinho, Ham Heon, Shim Kwang Bo, Choi Changhwan, Chang Joon-Hyuk, Lee Chongmu, Kang Inpil, Kong Myung Ho, So Dae-Sup, Lee Joon Woo, Kim Hyoun Woo, Kim Kyoung Hun JOURNAL OF CERAMIC PROCESSING RESEARCH 2011.12.01
93 Annealing-induced enhancement of ferromagnetism in SnO2-core/Cu-shell coaxial nanowires Kim, Hyoun Woo, Na, Han Gil, Yang, Ju Chan, Ahn, Jinho, Yoon, Chong Seung, Ham Heon, Shim Kwang, Choi Changhwan, Kang Inpil, Yang Jae-Hak, Lee Chongmu METALS AND MATERIALS INTERNATIONAL 2011.08.31
92 Carbon contamination of EUV mask and its effect on CD performance Sangsul Lee, Jong Gul Doh, Jae Uk Lee, Inhwan Lee, Chang Young Jeong, Dong Gun Lee, Seung-yu Rah, Jinho Ahn Current Applied Physics 2011.07.14
91 Carbon Contamination Analysis and Its Effect on Extreme Ultra Violet Mask Imaging Performance Using Coherent Scattering Microscopy/In-Situ Accelerated Contamination System Chang Young Jeong, Sangsul Lee, Jong Gul Doh, Jae Uk Lee, Han-sun Cha, William T. Nichols, Dong Gun Lee, Seong Sue Kim, Han Ku Cho, Seung-yu Rah, and Jinho Ahn Journal of Nanoscience and Nanotechnology 2011.07.01
90 Characteristics of CVD graphene nanoribbon formed by a ZnO nanowire Hardmask Chang Goo Kang, Jang Won Kang, Sang Kyung Lee, Seung Yong Lee, Chun Hum Cho, Hyeon Jun Hwang, Young Gon Lee, Jinseong Heo, Hyun-Jong Chung, Heejun Yang, Sunae Seo, Seong-Ju Park, Ki Young Ko, Jinho Ahn and Byoung Hun Lee Nanotechnology 2011.06.14
89 Improved electrical properties of Pt/HfO2/Ge using in-situ water vapor treatment and atomic layer deposition In-Sung Park, Youngjae Choi, William T. Nichols and Jinho Ahn Applied Physics Letters 2011.03.09
88 Fabrication of trench nanostructures for extreme ultraviolet lithography masks by atomic force microscope lithography Gwangmin Kwon, Kyeongkeun Ko, Haiwon Lee, Woongsun Lim, Geun Young Yeom, Sunwoo Lee, and Jinho Ahn Journal of Vacuum Science & Technology B 2011.01.25
87 Infinitely high selective inductively coupled plasma etching of an indium tin oxide binary mask structure for extreme ultraviolet lithography Y. R. Park, J. H. Ahn, J. S. Kim, B. S. Kwon, and N.-E. Lee Journal of Vacuum Science & Technology A 2010.06.29
86 Reduced Metal Contamination in Atomic-Layer-Deposited HfO2 Films Grown on Si Using O3 Oxidant Generated Without N2 Assistance Tae Joo Park, Keum Jee Chung, Hyun-Chul Kim, Jinho Ahn, Robert M. Wallace and Jiyoung Kim Electrochemical and Solid State Letters 2010.05.24
85 Influence of MEEF Change on the Mask Shadowing Effect in Extreme Ultraviolet Lithography Chang Young Jeong, Sangsul Lee, Hyun-Duck Shin, Tae Geun Kim and Jinho Ahn Microelectronic Engineering 2010.01.28
84 Improvement of imaging properties by optimizing the capping structure in extreme ultraviolet lithography Chang Young Jeong, Sangsul Lee, Hyun-Duck Shin, Tae Geun Kim, and Jinho Ahn Journal of Vacuum Science & Technology B 2009.12.03
83 Dependence of Electrical and Time Stress in Organic Field Effect Transistor with Low Temperature Forming Gas Treated Al2O3 Gate Dielectrics Sunwoo Lee, Keum Jee Chung, In-Sung Park, and Jinho Ahn Journal of Nanoscience and Nanotechnology 2009.12.01
82 Selective dry etching of attenuated phase-shift mask materials for extreme ultraviolet lithography using inductively coupled plasmas H.Y.Jung, Y.R.Park, H.J.Lee, N.-E. Lee, C.Y.jeong, and Jinho Ahn Journal of Vacuum Science & Technology B 2009.11.05
81 Characteristics of Pentacene Organic Field Effect Transistors with Self-Assembled Monolayer Treated HfO2 Gate Oxide Sunwoo Lee, Sang Seol Lee, Jung Ho Park, In-Sung Park, and Jinho Ahn Japanese Journal of Applied Physics 2009.06.22
80 Effect of Attenuated Phase Shift Mask Structure on Extreme Ultraviolet Lithograghy Hyun-Duck Shin, Chang Young Heoung, Tae Geun Kim, Sangsul Lee, In-Sung Park, and Jinho Ahn Japanese Journal of Applied Physics 2009.06.22
79 Highly selective dry etching of alternating phase-shift mask (PSM) structures for extreme ultraviolet lithography (EUVL) using inductively coupled plasmas (ICP) H.Y. Jung, Y.R. Park, H.J. Lee, N.-E. Lee., C.Y. Jeong, and Jinho Ahn Thin Solid Films 2009.05.29
78 Electrical characteristics of organic field effect transistor by forming gas treatment of high-k Al2O3 at low temperature Sunwoo Lee, Sang Seol Lee, Jung Ho Park, In-Sung Park, and Jinho Ahn Japanese Journal of Applied Physics 2009.04.20
77 Adhesion force change on multilayer EUVL mask due to laser induced plasma shock wave Tae-Gon Kim, Young-Sam Yoo, Jinho Ahn, Jong-Myoung Lee, Jae-Sung Choi, Ahmed A. Busnaina, Jin-Goo Park Microelectronic Engineering 2008.11.05
76 Effect of O2 Gas during Inductively Coupled O2/Cl2 Plasma Etching of Mo and HfO2 for Gate Stack Patterning Ho Young JUNG, Hag Joo LEE, Bong Soo KWON, Jung Ho PARK, Chiyoung LEE ,Jinho AHN , Jaegab LEE, and Nae-Eung LEE Japanese Journal of Applied Physics 2008.08.22
75 Mechanically flexible low-leakage multilayer gate dielectrics for flexible organic thin film transistors Y. G. Seol, H. Y. Noh, S. S. Lee, J. H. Ahn and N. E. Lee Applied Physics Letters 2008.07.09
74 Dry etching of extreme ultraviolet lithography mask structures in inductively coupled plasmas D. Y. Kim, H. J. Lee, H. Y. Jung, N.-E. Lee, T. G. Kim, B. H. Kim, J. Ahn, C. Y. Kim Journal of Vacuum Science & Technology A 2008.07.01
73 Damage Free Particle Removal from EUVL Mask Layers by High Energy Laser Shock Wave Cleaning Tae-Gon Kim, Young-Sam Yoo, Il-Ryong Son, Tae-Geun Kim, Jinho Ahn, Jong-Myoung Lee, Jae-Sung Choi, Ahmed A. Busnaina, and Jin-Goo Park Digest of papers Microprocesses and Nanotechnology 2008.02.25
72 Resistance Switching Characteristics of HfO2 Film for Resistance Change Random Access Memory Park, In-Sung; Lee, Joo-Ho; Lee, Sunwoo; Ahn, Jinho Journal of Nanoscience and Nanotechnology 2007.11.01
71 Effects of additive C4F8 during inductively coupled BCl3/C4F8/Ar plasma etching of TaN and HfO2 for gate stack patterning J. H. Ko, D. Y. Kim, M. S. Park, N.-E. Lee, S. S. Lee, Jinho Ahn, Hyoungsoo Mok Journal of Vacuum Science & Technology A 2007.07.02
70 SnO2 nanostructures synthesized on Co substrates Hyoun Woo Kim, S.H. Shim, Hae Jin Hwang, Jae Hyun Shim, Nam Hee Cho, Mi Kyoung Park, Hyuck Mo Lee, Byung Tae Ahn, Hyeong Tag Jeon, Jong Wan Park, Jin Ho Ahn, Bo Young Hur Solid State Phenomena 2007.06.16
69 Resistance Switching Characteristics for Nonvolatile Memory Operation of Binary Metal Oxides In-Sung Park, Kyong-Rae Kim, Sangsul Lee, and Jinho Ahn Japanese Journal of Applied Physics 2007.04.24
68 Electrical characteristics of poly (3-hexylthiophene) organic thin film transistor with electroplated metal gate electrodes on polyimide Y. G. Seol, J. G. Lee, N.-E. Lee, Sang Seol Lee and Jinho Ahn Thin Solid Films 2007.04.23
67 Layer-by-layer photonic crystal fabricated by low-temperature atomic layer deposition Jae-Hwang Lee, Wai Leung, Jinho Ahn, Taeho Lee, In-Sung Park, Kristen Constant and Kai-Ming Ho Applied Physics Latters 2007.04.09
66 Growth behavior of oxide nanostructures by electrical and thermal conductivities of substrate in atomic force microscope nano-oxidation Sunwoo Lee, Eol Pyo, Jeong Oh Kim, Jaegeun Noh, Haiwon Lee, and Jinho Ahn Journal of Applied Physics 2007.02.23
65 Nanoscale patterning using photo-assisted polymer transfer lithography In-Sung Park, Moonik Jang, and Jinho Ahn Microelectronic Engineering 2007.02.09
64 Theoretical investigation of pattern printability of oxidized Si and Ru capping models for extreme ultraviolet lithography(EUVL) In-Yong Kang, Jinho Ahn, Chung Yong Kim, Hye-Keun Oh, and Yong-Chae Chung Microelectronic Engineering 2007.01.25
63 Oxidant Effect on Resistance Switching Characteristics of HfO2 film Grown Atomic Layer Deposition In-Sung Park, Jooho Lee, Seungki Yoon, Keum Jee Chung, Sunwoo Lee, Jungho Park, Chang Kyung Kim, and jinho Ahn ECS Transactions 2007
62 Work function shift mechanism of metal gate electrode with Ru/Ti bilayer In-Sung Park, Han-Kyoung Ko, Taeho Lee, Jungho Park, Duck-Kyun Choi, Jinho Ahn, Min-Ho Park, and Cheol-Woong Yang Electrochemical and Solid-State Letters 2006.12.14
61 Novel Absorber Stack for Minimizing Shadow Effect in EUV Mask Fabrication T. Kim, B. Kim, I. Kang, Y. Chung, J. Ahn, S. Lee, I.-S. Park, C. Kim and N. Lee Journal of Vacuum Science & Technology A 2006.11
60 Electrical properties of atomic layer deposited HfO2 gate dielectric film using deuterium oxide (D2O) as an oxidant for improved reliability T. Lee, H. Ko, J. Ahn, I.-S. Park, H. Sim, H. Park, H. Hwang Japanese Journal of Applied Physics 2006.09.07
59 Dry etching of TaN/HfO2 gate-stack structure in BCl3/Ar/O2 inductively coupled plasma M. Shin, M. Park, N. Lee, J. Kim, C. Kim and J. Ahn Journal of Vacuum Science & Technology A 2006.06.22
58 Etching characteristics of Ta and TaN using Cl2/Ar inductively coupled plasma M. H. Shin, S. W. Na, N.-E. Lee and J. Ahn Thin Solid Films 2006.05
57 Characterization of Ru layer for capping/buffer application in EUVL mask Tae Geun Kim, Seung Yoon Lee, Chung Yong Kim, In-Sung Park, In-Yong Kang, Nae-Eung Lee, Yong-Chae Chung, and Jinho Ahn Microelectronic Engineering 2006.04
56 Novel hybrid mask mold for combined nanoimprint and photolithography technique K. Moon, B. Choi, I.-S. Park, S. Hong, K., H. Lee, and J. Ahn Microelectronic Engineering 2006.02.07
55 Investigation of Multilayer Structural Changes in Phase and Amplitude-defects Correction process S. Lee, T. Kim, J. Park, J. Song, O. Kim, C. Yang and J. Ahn Journal of Vacuum Science & Technology B 2005.12.05
54 Photonic band gaps of conformally coated structures R. Biswas, J. Ahn, T. Lee, J.-H. Lee, Y. Kim, C. H. Kim, C. H. Oh and K.-M. Ho Journal of the Optical Society of America B 2005.12
53 Dry etching of TaN/HfO2 gate stack structure by Cl2/SF6/Ar Inductively Coupled Plasma M. Shin, S. N, N. Lee, Y. Oh, J. Kim T. Lee and J. Ahn Japanese Journal of Applied Physics 2005.07.26
52 Numerical Investigation of Defect Printability in Extreme Ultraviolet (EUV) Reflector: Ru/Mo/Si Mulitlayer System I.-Y. Kang, J. Ahn, H.-K. Oh and Y.-C. Chung Japanese Journal of Applied Physics 2005.07.26
51 Angular dependency of off-axis illumination on 100nm-width pattern printability for extreme ultraviolet lithography: Ru/Mo/Si reflector system I. Kang, Y. Chung, J. Ahn, H. Oh, T. Watanabe and H. Kinoshita Journal of Vacuum Science & Technology B 2004.12.10
50 Nondestructive and destructive characterization of nano-structured multilayer T. Kim, S. Lee, I. Kang, Y. Chung, and J. Ahn Key Engineering Materials 2004.08.15
49 Properties of ultra-thin hafnium oxide and interfacial layer deposited by atomic layer deposition T. Lee, Y. Kim, K. Hong, D. Choi and J. Ahn J. Rare Earths 2004.08
48 Structural characterization of a Mo/Ru/Si extreme ultraviolet reflector by optical modeling I. Kang, T. Kim, S. Lee, J. Ahn and Y. Chung Japanese Journal of Applied Physics 2004.06.29
47 A simple approach in fabricating chemical sensor using laterally grown multi-walled carbon nanotubes Y. Jang, S. Moon, J. Ahn, Y. Lee and B. Ju Sensors and Actuators B 2004.04.15
46 Characterization of atomic-layer-deposited hafnium oxide/SiON stacked-gate dielectrics Y. Kim, M. Kang, T. Lee, J. Ahn and D. Choi Journal of Vacuum Science & Technology 2003.10.12
45 Fabrication and characteristics of field emitter using carbon nanotues directly grown by thermal chemical vapor deposition Y. Jang, C. Choi, B. Ju, J. Ahn, Y. Lee Thin Solid Films 2003.07.31
44 Enhancement of EUV reflective multilayer properties by the insertion of Ru barrier layer S. Lee, T. Kim and J. Ahn Japanese Journal of Applied Physics 2003.06.30
43 Simple approach to fabricate microgated nanotubes emitter with a side wall protector Y. Jang, C. Choi, B. Ju, J. Ahn and Y. Lee Physica B : Condensed Matter 2003.06
42 Effect of NH3 and thickness of catalyst on growth of carbon nanotubes using thermal chemical vapor deposition Y. Jang, J. Ahn, Y. Lee and B. Ju Chem. Phys. Lett. 2003.05.06
41 Lateral growth of aligned multiwalled carbon nanotubes under electric field Y. Jang, J. Ahn, B. Ju and Y. Lee Solid state communications 2003.05
40 Chemical reaction during Pt etching with SF6/Ar and Cl2/Ar plasma chemistries Sang Hoon Kim, Sup Youl Ju, Jae Hee Hwang and Jinho Ahn Japanese Journal of Applied Physics 2003.04.01
39 Suppression of leakage current via formation of a sidewall protector in the microgated carbon nanotube emitter Y. Jang, C. Shoi, B. Ju, J. Ahn and Y. Lee Nanotechnology 2003.03
38 Microstructure and magnetic properties of nanosized Fe-Co alloy powders synthesized by mechnochemical and mechanical alloying process B. Lee, B. Auhn, D. Kim, S. Oh, H. Jeon, J. Ahn and Y. Lim Mat. Lett. 2003.01
37 Characteristics of zirconium based deposited by co-sputtering C. Jeon, S. Kong, H. Shin and J. Ahn, J. Kim Integrated Ferroelectrics 2002.12
36 Application of carbon nanotubes for cathode-ray tube electron gun Yoon-Taek Jang, Yun-Hi Lee, Byeong-Kwon Ju, Jinho Ahn, Chang-Ki Go, Gong-Seok Park Vaccum 2002.10.14
35 Tungsten nanowires and their field electron emission properties Yun-Hi Lee, Chang-Hoon Choi, Yoon-Taek Jang, Eun-Kyu Kim, Byeong-Kwon Ju, Nam-Ki Min, and Jinho Ahn Applied Physics Letters 2002.07.16
34 Analysis of multilayer structure for reflection of extreme-ultraviolet wavelength Seung Yoon Lee, Sung Min Hur, Hyung Joon Kim, Chong Seung Yoon, Yong Tae Lee, In Yong Kang, Yong-Chae Chung, Moonsuk Yi, Cheol Kyu Bok, Ohyun Kim and Jinho Ahn Japanese Journal of Applied Physics 2002.06
33 Etch characteristics of Pt film for application ferroelectric capacitor electrode 김상훈, 주섭열, 이순우, 황재희, 안진호 ICEE 2002
32 Influence of hydrogen damages in ferroelectric thin film capacitors June-Mo Koo, Hyung-sub Min, Wonhee Lee,, Jae-Gab Lee, Jiyoung Kim and Jinho Ahn Ferroelectrics 2001.10.25
31 Realization of gated field emitters for electrophotonic applications using carbon nanotube line emitters directly grown into submicrometer holes Y. Lee, Y. Jang, D. Kim, J. Ahn and B. Ju Adv. Mater 2001.04.04
30 Deposition and Characterization of Ta, TaNx, and Ta4B Films for NGL Mask Application S. Lee and J. Ahn Japanese Journal of Applied Physics 2000.12
29 Etching Characteristics of fine Ta patterns with Elctron Cyclotron Resonance Chlorine Plasma Sang-Gyun Woo, Sang Hoon Kim, Sup-Yeol Ju, Joo-Hiuk Son and Jinho Ahn Japanese Journal of Applied Physics 2000.12
28 Effects of SF6 addition to O2 plasma on Polyimide Etching Sang Hoon Kim, Sang-Gyun Woo, and Jinho Ahn Japanese Journal of Applied Physics 2000.12
27 Study on the Properties of Interlayer Low Dielectric Polyimide during Cl-based Plasma Etching of Aluminum Sang Hoon Kim, Ho Sung Moon, Sang Gyun Woo and Jinho Ahn Japanese Journal of Applied Physics 1999.12
26 Highly anisotropic etching of tungsten-nitride for x-ray mask absorber with ICP system H. Lee, S. Lee, H. Moon, S. Kim, J. Sohn, and J. Ahn Japanese Journal of Applied Physics 1998.12
25 High transmittance SiC membrane prepared by Electron Cycltron Resonance Plasma Chemical Vapor Deposition in combination with Rapid Thermal Annealing S. Lee, K. Song, J. Kim, J. Sohn, and J. Ahn Japanese Journal of Applied Physics 1998.12
24 Internal stress and microstructure of WNx bilayer films for x-ray masks D. Lee, C. Park, K. Song, Y. Jeon, T. Lee, C. Jeong and J, Ahn Japanese Journal of Applied Physics 1997.12
23 Device performance and reliability of p-channel metal-oxide-semiconductor field effect transistors with chemical-vapor-deposited gate oxides J. Ahn and D. L. Kwong Japanese Journal of Applied Physics 1997.07
22 Stress-controlled silicon nitride film with high optical transmittance prepared by an ultra high vacuum electron cyclotron resonance plasma chemical vapor deposition system J. Ahn and K. Suzuki Applied Physics Letters 1994.06.04
21 Ultrahigh-vacuum electron cyclotron resonance-plasma chemical-vapor-deposited SiNX films for X-ray lithography mask membrane: As-deposited properties and radiation stability J. Ahn, K. Suzuki, S. Tsuboi, and Y. Yamashita Japanese Journal of Applied Physics 1994
20 Comparison of the chemical structure and composition between N2O oxides and reoxidized NH3-nitrided oxides M. Bhat, J. Ahn, D. L. Kwong, M. Arent, and J. M. White Applied Physics Letters 1994
19 Oxynitride gate dielectrics for p+-polysilicon MOS devices A. B. Joshi, J. Ahn. and D. L Kwong IEEE Electron Device Letters 1993.12
18 Improved hot-carrier immunity in CMOS analog device with N2O-nitrided gate oxides G. Q. Lo, J. Ahn, and D. L. Kwong IEEE Electron Device Letters 1992.10
17 Suppression of stress-induced leakage current in ultrathin N2O oxides J. Ahn, J. Kim, G. Q. Lo, and D. L. Kwong Applied Physics Letters 1992.03
16 Thin fluorinated gate dielectrics grown by rapid thermal processing in O2 with diluted NF3 G. Q. Lo, W. Ting, J. Ahn, D. L. Kwong, and J. Kuehne IEEE Trans. Electron Devices 1992.01
15 Thickness uniformity and electrical properties of ultrathin gate oxides grown in N2O ambient by rapid thermal processing G. W. Yoon, A. B. Joshi, J. Ahn, and D. L. Kwong Japanese Journal of Applied Physics 1992
14 Dependence of hot-carrier immunity on channel length and channel width in MOSFET's with N2O-grown gate oxides G. Q. Lo, J. Ahn, and D. L. Kwong IEEE Electron Device Letters 1992
13 Time-dependent dielectric breakdown characteristics of N2O oxide under dynamic stressing J. Ahn, A. Joshi, G. Q. Lo, and D. L. Kwong IEEE Electron Device Letters 1992
12 Electrical properties of MOSFET's with N2O-nitrided LPCVD SiO2 gate dielectrics J. Ahn. and D. L. Kwong IEEE Electron Device Letters 1992
11 AC hot-carrier effects in MOSFET's with Furnace N2O-nitrided gate oxides G. Q. Lo, J. Ahn, and D. L. Kwong IEEE Electron Device Letters 1992
10 High-quality MOSFET's with ultrathin LPCVD gate SiO2 J. Ahn, W. Ting, and D. L. Kwong IEEE Electron Device Letters 1992
9 P-channel MOSFET's with ultrathin N2O gate oxides G. Q. Lo, W. Ting, J. Ahn, and D. L. Kwong IEEE Electron Device Letters 1992
8 Furnace nitridation of thermal SiO2 in pure N2O ambient for ULSI applications J. Ahn, W. Ting, and D. L. Kwong IEEE Electron Device Letters 1992
7 High quality ultrathin gate dielectrics formation by thermal oxidation of Si in N2O J. Ahn. W. Ting, T. Chu, S. N. Lin, and D. L. Kwong J. Electrochem. Soc, 1991.09
6 Charge trapping and interface state generation in ultrathin stacked Si3N4/SiO2 gate dielectrics W. Ting, J. Ahn, and D. L. Kwong Journal of Nanoscience and Nanotechnology 1991.06
5 Study of the composition of thin dielectrics grown on Si in a pure N2O ambient T. Chu, W. Ting, J. Ahn, S. Lin, and D. L. Kwong Applied Physics Letters 1991.06
4 MOS characteristics of ultrathin SiO2 prepared by oxidizing Si in N2O W. Ting, G. Q. Lo, J. Ahn, T. Y. Chu, and D. L. Kwong IEEE Electron Device Letters 1991
3 Thickness and compositional nonuniformities of ultrathin oxides grown by rapid thermal oxidation of silicon in N2O T. Y. Chu, W. Ting, J. Ahn, and D. L. Kwong J. Electrochem. Soc. 1991
2 High quality thin gate oxide prepared by annealing low-pressure chemical vapor deposited SiO2 in N2O J. Ahn. W. Ting, T. Chu, and D. L. Kwong Applied Physics Letters 1991
1 Radiation hardened metal-oxide-semiconductor devices with gate dielectrics grown by rapid thermal processing in O2 with diluted NF3 J. Ahn. G. Q. Lo, W. Ting and D. L. Kwong Applied Physics Letters 1990.11