한양대 나노 공정 및 소자 연구실 로고

Presentations-

HOME > Publication > Presentations


Num Title Conference Author Date
302 A novel solution for next-generation EUV pellicle: breathable membrane with increased transmittance 2024 SPIE Advanced Lithography + Patterning Haneul Kim, Young-Woo Kang, Jungyeon Kim, Tae Joong Ha and Jinho Ahn 2024.02.28
301 Vertically tailored hybrid multilayer EUV photoresist with vertical molecular wire structure 2024 SPIE Advanced Lithography + Patterning Myung Mo Sung, Hyeonseok Ji, Jaehyuk Lee, Jinho Ahn, Chang Gyoun Kim, Sangsul Lee, Yasin Ekinci, Dimitrios Kazazis, Prajith Karadan 2024.02.28
300 Modification of electrical and structural properties at interface between Ru and SiGe with annealing PRICM11 Jun Hyeong Park, Sung Jun Kim, Young Wook Park, In-Sung Park, and Jinho Ahn 2023.11.20
299 Comparison of grain growth of poly-Si films deposited with PECVD, LPCVD and sputtering for 3D DRAM application PRICM11 Sung Jun Kim, Jun Hyeong Park, Young Wook Park, In-Sung Park, and Jinho Ahn 2023.11.20
298 The hole-patterned pellicle with a diameter of sub-220 nm as a solution to increase EUV transmittance MNC 2023 Jungyeon Kim, Ha Neul Kim, Seong Ju Wi, Young Woo Kang, Won Jin Kim, and Jinho Ahn 2023.11.17
297 Gas type optimization for dry development of CF4-based plasma on organic-inorganic hybrid EUV photoresist MNC 2023 Jiwoo Jung, Ji-Hoo Seok, Hyeonseok Ji, Jaehyuk Lee, In-Sung Park, Myung Mo Sung, and Jinho Ahn 2023.11.17
296 Experimental investigation of the mask diffraction light blocking with critical-sized Sn particles on EUV pellicle 2023 SPIE Photomask Technology + EUV Lithography Seungchan Moon, Dong Gi Lee, Jin Hyuk Choi and Jinho Ahn 2023.10.02
295 Platinum-based alloy absorber with improved etching properties for next-generation EUV mask 2023 SPIE Photomask Technology + EUV Lithography Yunsoo Kim, Dongmin Jeong, Seungho Lee and Jinho Ahn 2023.10.02
294 Evaluation method for phase shift of EUV light and refractive index using optical flat interferomete 2023 SPIE Photomask Technology + EUV Lithography Dong Gi Lee, Seungchan Moon, Jin Hyuk Choi, Seok Ho Song, and Jinho Ahn 2023.10.02
293 Near-field Infrared Nanoscopic Study of EUV- and ebeam-exposed Hydrogen Silsesquioxane Photoresist 2023 EUVL Workshop & Supplier Showcase Jiho Kim, Jin-Kyun Lee, Boknam Chae, Jinho Ahn, Sangsul Lee 2023.06.06
292 Investigating the Impact of Multi-Emission Layers on the Emissivity of EUV Pellicles 2023 EUVL Workshop & Supplier Showcase Young Woo Kang, Seong Ju Wi, Ha Neul Kim, Won Jin Kim, Jungyeon Kim and Jinho Ahn 2023.06.06
291 High-k Based Near n≈1 EUV Mask for M3D Effects and Focus Control in High-NA Lithography 2023 EUVL Workshop & Supplier Showcase Dongmin Jeong, Yunsoo Kim, Seung Ho Lee, and Jinho Ahn 2023.06.06
290 Metal silicide EUV pellicle and the Effect of Wrinkles on Mask-3D Effects 2023 EUVL Workshop & Supplier Showcase Dong Gi Lee, Seungchan Moon, Jinhyuk Choi, Seung Ju Wi and Jinho Ahn 2023.06.06
289 EUV mask technology 2023 EUVL Workshop & Supplier Showcase Jinho Ahn 2023.06.04
288 Atomic layer etching of TiN with partial sequence of O2 plasma and CF3I plasma 2023 EDTM Sung Jun Kim, Seon Yong Kim, In-Sung Park and Jinho Ahn 2023.03.09
287 Evaluation method for refractive index and extinction coefficient of EUV mask materials 2023 EDTM Dong Gi Lee, Seungchan Moon, Jinhyuk Choi, Seok Ho Song, and Jinho Ahn 2023.03.09
286 Effect of SiNx passivation layer on the radiation efficiency of EUV pellicle 2023 EDTM Won Jin Kim, Seong Ju Wi, Haneul Kim, Youngwoo Kang, Jungyeon Kim and Jinho Ahn 2023.03.09
285 Alternative EUV mask absorber with platinum-based alloy for high-NA EUV lithography 2023 EDTM Yunsoo Kim, Dongmin Jeong, Minsun Cho and Jinho Ahn 2023.03.07
284 Effect of pellicle wrinkles on EUV reflectivity and local critical dimension 2023 SPIE Advanced Lithography + Patterning Seungchan Moon, Donggi Lee, Jinhyuk Choi, Jinho Ahn 2023.03.01
283 Impact of MoSi2 crystal structure on nano-membrane emissivity 2023 SPIE Advanced Lithography + Patterning Haneul Kim, Seong Ju Wi, Young-Woo Kang, Wonjin Kim, Jinho Ahn 2023.03.01
282 Advanced EUV Mask with Platinum-Tungsten Alloy for High-NA EUV Lithography KISM 2022 Yunsoo Kim, Dongmin Jeong, Minsun Cho, and Jinho Ahn 2022.11.15
281 Effect of SiNx Passivation Layer on the Radiation Efficiency of EUV Pellicle KISM 2022 Wonjin Kim, Seongju Wi, Haneul Kim, youngwoo Kang, Jungyeon Kim and Jinho Ahn 2022.11.14
280 Effect of Pellicle Wrinkles on EUV Reflectivity and Local Critical Dimension KISM 2022 Seung Chan Moon, Dong Gi Lee, Jinhyuk Choi, and Jinho Ahn 2022.11.14
279 Effect of pellicle wrinkles on EUV reflectivity and local critical dimension AsiaNANO 2022 Seung Chan Moon, Dong Gi Lee, Jinhyuk Choi, and Jinho Ahn 2022.11.09
278 Effect of SiNx passivation layer on the radiation efficiency of EUV pellicle AsiaNANO 2022 Wonjin Kim, Seongju Wi, Haneul Kim, and Jinho Ahn 2022.11.09
277 Platinum-based EUV mask absorber for high-NA EUV lithography AsiaNANO 2022 Yunsoo Kim, Dongmin Jeong, Minsun Cho, and Jinho Ahn 2022.11.09
276 Masks and Pellicles for HVM EUV Lithography MNC 2022 Jinho Ahn 2022.11.08
275 Experimental Demonstration for the Effect of EUV Pellicle Wrinkles on Mask 3D Effect and Mask Imaging Performance ENGE 2022 Jin Hyuk Choi, Dong Gi Lee, Young Woong Kim, Seung Chan Moon, Seong Ju Wi, and Jinho Ahn 2022.11.07
274 EUV Mask Performance Enhancement Through Controlling Mask Bias for C/H Patterns ENGE 2022 Minsun Cho, Dongmin Jeong, Yunsoo Kim, and Jinho Ahn 2022.11.07
273 Evaluating Optical/Mechanical Properties of Single MoSi2 EUV Pellicle ENGE 2022 Won Jin Kim, Seong Ju Wi, Ha Neul Kim, Youngwoo Kang, Jung Yeon Kim and Jinho Ahn 2022.11.07
272 Impact of Thermo-Mechanical Properties of EUV Pellicle on Critical Dimension Uniformity ENGE 2022 Youngwoo Kang, Junghwan Kim, Ha Neul Kim and Jinho Ahn 2022.11.07
271 Large Scale Nanometer-thickness Molybdenum Carbide Membrane for Extreme Ultraviolet Pellicle ENGE 2022 Yongkyung Kim, Kihun Seong, Sung Kyu Jang, Hyun-Mi Kim, Jin Woo Cho, Hyeongkeun Kim, Seul-Gi Kim and Jinho Ahn 2022.11.07
270 Materials Science in EUV Lithography ENGE 2022 Jinho Ahn 2022.11.07
269 EUV mask technology 2022 Source Workshop Jinho Ahn 2022.10.22
268 Feasibility of nanometer-thickness molybdenum carbide film for extreme ultraviolet pellicle 2022 SPIE Photomask Technology + EUV Lithography Yongkyung Kim, Kihun Seong, Donggi Lee, Seungchan Moon, Hyun-Mi Kim, Hyeongkeun Kim, Seul-Gi Kim and Jinho Ahn 2022.09.28
267 Experimental demonstration for the influence of EUV pellicle wrinkles on the mask 3D effects 2022 SPIE Photomask Technology + EUV Lithography Donggi Lee, Young Woong Kim, Seung Chan Moon, Jinhyuk Choi and Jinho Ahn 2022.09.28
266 Investigation of ZrSi2 for application to EUV pellicle 2022 SPIE Photomask Technology + EUV Lithography Seong Ju Wi, Chang Soo Kim, Ha Neul Kim, and Jinho Ahn 2022.09.28
265 Alternative EUV mask with platinum-tungsten alloy for high-NA EUV lithography 2022 SPIE Photomask Technology + EUV Lithography Yunsoo Kim, Dongmin Jeong, Minsun Cho, and Jinho Ahn 2022.09.26
264 Effect of wrinkles on pellicle reflectivity and local critical dimension 2022 EUVL Workshop & Supplier Showcase Seung Chan Moon, Dong Gi Lee, Young Woong Kim, and Jinho Ahn 2022.06.09
263 Generation of wrinkles and its effect on the performance of EUV pellicles 2022 EUVL Workshop & Supplier Showcase Donggi Lee, Young Woon Kim, Seung Chan Moon, and Jinho Ahn 2022.06.08
262 Optimization of the diffraction phase effect for EUV phase shift mask 2022 EUVL Workshop & Supplier Showcase Dongmin Jeong, Yunsoo Kim, Minsun Cho, and Jinho Ahn 2022.06.07
261 EUV mask technology 2022 EUVL Workshop & Supplier Showcase Jinho Ahn 2022.06.05
260 Impact of thermal expansion coefficient on the local tilt angle of extreme ultraviolet pellicle 2022 SPIE Advanced Lithography + Patterning Chang Soo Kim, Jung Hwan Kim, Seong Ju Wi, Ha Neul Kim, Won Jin Kim and Jinho Ahn 2022.04.27
259 Effect of diffraction phase control for EUV phase shift mask 2022 SPIE Advanced Lithography + Patterning Dongmin Jeong, Deukgyu Kim, Yunsoo Kim, Minsun Cho, and Jinho Ahn 2022.04.27
258 Atomic layer etching of SiO2 and TiN films with non-greenhouse gas of CF3I 5th ICASS Seon Yong Kim, In-Sung Park, and Jinho Ahn 2022.04.26
257 Diffraction properties optimization for EUV mask imaging performance enhancement 2021 HYU-JLU-FCU Joint Symposium Yunsoo Kim, Dongmin Jeong, Deukgyu Kim, Minsun Cho, and Jinho Ahn 2021.12.10
256 Actinic photomask imaging using EUV ptychography microscope 2021 HYU-JLU-FCU Joint Symposium Young Woong Kim, Dong Gi Lee, Chang Mo Ku, Seungchan Moon, Joong Hwee Jcho and Jinho Ahn 2021.12.10
255 EUV Lithography imec-HYU workshop Jinho Ahn 2021.11.26
254 EUV Lithography A successful Next Generation Lithography Technology 2021 ICTFAB Jinho Ahn 2021.11.15
253 EUV photomask technology 2021 Source Workshop Jinho Ahn 2021.10.23
252 Effect of wrinkles on pellicle reflectivity and local critical dimension 2021 EUVL Symposium Dong gi Lee, Young Woong Kim, Seungchan Moon, Jinho Ahn 2021.09.28
251 Recent research activities in EUV-IUCC (Industry-University Collaboration Center) 2021 EUVL Supplier Showcase Jinho Ahn 2021.08.16
250 Atomic layer etching of titanium nitride with O2 plasma and CF3I plasma ALD 2021 Seon Yong Kim, Sang Hyu Shin, In-Sung Park, Jinho Ahn 2021.06.27
249 Analyzing the cause for EUV transmittance reduction of EUV pellicle during exposure process 2021 EUVL Workshop Chang Soo Kim, Yong Ju Jang, Seong Ju Wi, Ha Neul Kim and Jinho Ahn 2021.06.09
248 EUV mask imaging performance enhancement through aerial image optimization 2021 EUVL Workshop Deukgyu Kim, Dongmin Jeong, Yunsoo Kim, Minsun Cho, and Jinho Ahn 2021.06.09
247 Materials perspectives for EUV pellicle solutions 2021 EUVL Workshop Jinho Ahn 2021.06.08
246 Short course 2021 EUVL Workshop Jinho Ahn 2021.06.07
245 Fabrication and evaluation of Nickel-based high-k mask for high numerical aperture extreme ultraviolet lithography 2021 SPIE Advanced Lithography Dongmin Jeong, Yoon Jong Han, Deuk Gyu Kim, Yunsoo Kim and Jinho Ahn 2021.02.22
244 Experimental validation of particle impact on thermo-mechanical behavior of EUV pellicle 2021 SPIE Advanced Lithography Yong Ju Jang, Seong Ju Wi, Ha Neul Kim, Chang Soo Kim and Jinho Ahn 2021.02.22
243 Actinic mask imaging using EUV ptychography microscope 2021 SPIE Advanced Lithography Young Woong Kim, Byungmin Yoo, Dong Gi Lee, Chang Mo Ku, Joong Hwee Jcho and Jinho Ahn 2021.02.22
242 Atomic Layer Etching of Silicon Oxide with CF3I and O2 Plasma PRiME 2020 Seon Yong Kim, Taehoon Lee, In-Sung Park, Jinho Ahn 2020.10.04
241 Evaluating optical/thermal properties of MoSi2 EUV pellicle The 28th Korean Conference on Semiconductors Ha Neul Kim, Yong Ju Jang, Seong Ju Wi, Chang Soo Kim, and Jinho Ahn 2020.09.20
240 Multi-stack Ni absorber EUV mask for high numerical aperture extreme ultraviolet lithography 2020 EUVL Workshop Yoon Jong Han, Dongmin Jeong, and Jinho Ahn 2020.06.10
239 EUV pellicle defect review using EUV ptychography microscope 2020 EUVL Workshop Byungmin Yoo, Dong Gon Woo, Young Woong Kim, Young Ju Jang, Seong Ju Wi and Jinho Ahn 2020.06.10
238 Pathfinding the Novel Absorber Materials for High-NA EUV lithography (Invited) 2020 EUVL Workshop Jinho Ahn 2020.06.10
237 EUVL short course 2020 EUVL Workshop Jinho Ahn 2020.06.07
236 EUV Lithography 2020 SPIE Advanced Lithography Jinho Ahn 2020.02.23
235 Thermo-mechanical characteristics of particle-contaminated pellicle membrane for extreme ultraviolet lithography MNC 2019 Dongmin Jeong , Yong Ju Jang, Seong Ju Wi, Ha Neul Kim and Jinho Ahn 2019.10.31
234 Multilayer absorber phase shift mask using platinum for high numerical aperture extreme ultraviolet lithography MNC 2019 Donming Jeong, Jung sik kim, Yoon Jong Han and Jinho Ahn 2019.10.31
233 Electrical Characteristics of Double Back Gate Z2-FET on Sub 10nm SOI MNC 2019 Sehyun Kwon, Francisco Gamiz, Philippe Galy, Sorin Cristoloveanu, Jinho Ahn and Yong Tae Kim 2019.10.31
232 Fe3O4/ZnO core shell nanoparticles fabricated by atomic layer deposition and its application for drug delivery agent MNC 2019 Sejong Seong, Taehoon Lee, Seon Yong Kim, In-Sung Park and Jinho Ahn 2019.10.29
231 Multilayer absorber phase shift mask using platinum for high numerical aperture extreme ultraviolet lithography 2019 EUVL symposium Dongmin Jeong, Jung Sik Kim, Yoon Jong Han, Jinho Ahn 2019.09.16
230 Through-pellicle inspection using EUV ptychography microscope 2019 EUVL symposium Young Woong Kim, Dong Gon Woo, Yong Ju Jang, Seong Ju Wi, Jinho Ahn, Seunghyeok Shin, Whoi-Yul Kim 2019.09.16
229 ALD of Metal Oxides Fabricated by using La(NO3)3∙6H2O Oxidant and their applications ALD/ALE 2019 In-Sung Park, Seon Yong Kim, Sejong Seong, Taehoon Lee, Yong Chan Jung and Jinho Ahn 2019.07.22
228 Thermo-mechanical Characteristics of EUV Pellicle with Particle Contamination 2019 EUVL Workshop Ha Neul Kim, Yong Ju Jang, Seong Ju Wi, and Jinho Ahn 2019.06.12
227 Development of EUV-ptychography Microscope: EUV Scanning Lensless Imaging (ESLI) 2019 EUVL Workshop Dong Gon Woo, Young Woong Kim, Yong Ju Jang, Seong Ju Wi, Seung Hyuk Shin, Whoi-Yul Kim and Jinho Ahn 2019.06.12
226 Fabrication and Evaluation of SiN-based EUV Pellicle 2019 EUVL Workshop Ha Neul Kim, Yong Ju Jang, Seong Ju Wi, Juhee Hong, Chang Hoon Lee, Kee Soo Nam and Jinho Ahn 2019.06.12
225 Reliable operation of reconfigurable Z2-FET for memory matrix and register 5th Joint EUROSOI ULIS 2019 Conference Sehyun Kwon, Carlos Navarro, Philippe Galy, Sorin Cristoloveanu, Jinho Ahn, Young Min Jhon, Francisco Gamiz, and Yong Tae Kim 2019.04.01
224 Fabrication and evaluation of phase shift mask using platinum for high numerical aperture extreme ultraviolet lithography 2019 SPIE Advanced Lithography Jung Sik Kim, Dong Gon Woo, Dong Min Jeong and Jinho Ahn 2019.02.27
223 EUV Lithography 2019 SPIE Advanced Lithography Jinho Ahn 2019.02.24
222 Microstructure and Eelectrical Properties of HfO2 Thin Films Using La(NO3)3·6H2O Solution as an Oxidant MNC 2018 Seon Yong Kim, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park and Jinho Ahn 2018.11.16
221 Wide Thermal Anneal System for Uniform Improvement of IGZO Thin Films ENGE 2018 Taehoon Lee, Yong Chan Jung, Sejong Seong, Seon Yong Kim, In-Sung Park and Jinho Ahn 2018.11.14
220 Microstructure and Eelectrical Properties of HfO2 Thin Films Using La(NO3)3·6H2O Solution as an Oxidant ENGE 2018 Seon Yong Kim, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park and Jinho Ahn 2018.11.12
219 Mask Materials and Designs for Extremes Ultra Violet Lithography ENGE 2018 Jinho Ahn 2018.11.12
218 Optical, Structural, and Resistive switching Characteristics of Atomic-Layer-Deposited ZnO Films with Their Thickness Variation Americas International Meeting on Electrochemistry and Solid State Science 2018 Taehoon Lee, Yong Chan Jung, Sejong Seong, Seon Yong Kim, In-Sung Park and Jinho Ahn 2018.10.01
217 Maximizing thermal emission characteristics of EUV pellicle 2018 EUVL symposium Yong Ju Jang, Seong Ju Wi, Juhee Hong, Kee Soo Nam, and Jinho Ahn 2018.09.17
216 Memory characteristics of band modulated FET on nano SOI 2018 International Conference on Solid State Devices and Materials Sehyun Kwon, Jinho Ahn, Sorin Cristoloveanu, Francisco Gamiz, Carlos Navarro, Philippe Galy, Young Min Jhon, and Yong Tae Kim 2018.09.13
215 Fabrication of Multi-Functional Core/Shell Nanoparticles Using Atomic Layer Deposition Technology 5th International Conference on Materials Science & Smart Materials Sejong Seong, Yong Chan Jung, Taehoon Lee, Seon Yong Kim, In-Sung Park and Jinho Ahn 2018.08.08
214 Evaluating thermal and mechanical properties of composite films for EUV pellicle applications 2018 EUVL workshop Seong Ju Wi, Yong Ju Jang, Jinho Ahn 2018.06.13
213 Thin-oxide Z2-FET Reliability Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon Sehyun Kwon, Carlos Navarro, Francisco Gamiz, Philippe Galy, Asen Asenov, Sorin Cristoloveanu, Jinho Ahn, and Yong Tae Kim 2018.03.19
212 The Resistive Switching Characteristics of Nanocrystalline HfO2 Films Grown by Fast Atomic Layer Deposition with La(NO3)36H20 Solution 2017 MRS Fall Meeting & Exhibit Yong Chan Jung, Sejong Seong, Taehoon Lee, Seon Yong Kim, In-sung Park, Jinho Ahn 2017.11.28
211 Design of Phase-change Material with Distortion Effect by Dopants 2017 MRS Fall Meeting & Exhibit Minho Choi, Heechae Choi, Sehyun Kwon, Seungchul Kim, Kwang-Ryeol Lee, Jinho Ahn, and Yong Tae Kim 2017.11.28
210 Multi-functional Core/Shell Nanoparticles Fabricated by Atomic Layer Deposition Technology The 30th International Microprocesses and Nanotechnology Conference Sejong Seong, Yong Chan Jung, Taehoon Lee, In-sung Park, Kichun Yoo, Sujae Lee, Jinho Ahn 2017.11.09
209 Characteristics of silicon nitride composites for EUV pellicle application The 30th International Microprocesses and Nanotechnology Conference Yong Ju Jang, Jung Hwan Kim, Jinho Ahn 2017.11.08
208 Development of EUV actinic inspection technique: EUV scanning lensless imaging (ESLI) The 30th International Microprocesses and Nanotechnology Conference 우동곤, 김영웅, 김정환, 신승혁, 김회율, 안진호 2017.11.08
207 Resistive Switching Parameter Improvement of Pt/HfO2/Pt Resistor by Applying Voltage Bias Polarity The 30th International Microprocesses and Nanotechnology Conference Yong Chan Jung, Sejong Seong, Taehoon Lee, Seon Yong Kim, In-sung Park, Jinho Ahn 2017.11.07
206 Characteristics of Z2-FET : Capacitorless 1T-DRAM device The 4th International Symposium on Hybrid Materials and Processing Sehyun Kwon, Minho Choi, Sorin Cristoloveanu, Yong Tae Kim, Jinho Ahn 2017.11.07
205 Using platinum for absorber stack of phase shift mask in high numerical aperture extreme ultraviolet lithography The 30th International Microprocesses and Nanotechnology Conference Jung Sik Kim, Dong Gon Woo, and Jinho Ahn 2017.11.06
204 Wide Thermal Annealing System and its Effects on ITO and IGZO Thin Films The 4th International Symposium on Hybrid Materials and Processing Sejong Seong, Yong Chan Jung, Taehoon Lee, Seon Yong Kim, In-sung Park, Jinho Ahn 2017.11.06
203 Evaluating mechanical and thermal characteristics of SiNx EUV pellicle membrane 2017 EUVL symposium Yong Ju Jang, Jung Hwan Kim and Jinho Ahn 2017.09.13
202 Characteristics of sharp switching capacitorless 1T-DRAM device AWAD 2017 Sehyun Kwon, Minho Choi, Sorin Cristoloveanu, Chunkeun Kim, Yong Tae Kim, Jinho Ahn 2017.07.04
201 Improved photoluminescence intensity of quasicrystal patterned Y2O3:Eu3+ thin-film phosphors 2017 International Forum on Functional Materials (IFFM 2017) Hyojun Kim, Ki-Young Ko, In-Sung Park, Jinho Ahn 2017.06.27
200 Surface smoothness effect on MoS2 fabrication on HfO2 dielectric films ICASS 2017 Bo Li, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park, and Jinho Ahn 2017.06.14
199 Improved Resistive Switching Characteristics of ZnO on metal-capped ITO electrode for transparent flexible devices ICASS 2017 Taehoon Lee, Yong Chan Jung, Sejong Seong, Bo Li, Seon Yong Kim, Hyun Jun Hwang, Hak-sung Kim, In-Sung Park, and Jinho Ahn 2017.06.14
198 Photocatalytic ZnO thin shell layers coating on plasmonic metal nanoparticles by using atomic layer deposition technology ICASS 2017 Sejong Seong, Yong Chan Jung, Taehoon Lee, Bo Li, In-Sung Park, Jinho Ahn 2017.06.14
197 Impact of interfaces near anode in resistive switching of Pt/HfO2/Pt by electroforming ICASS 2017 Yong Chan Jung, Sejong Seong, Taehoon Lee, Bo Li, Seong Yong Kim, In-Sung Park, Jinho Ahn 2017.06.14
196 Improved Inspection Ability of Coherent Scattering Microscopy by Applying Ptychography 2017 International Workshop on EUV Lithography Young Woong Kim, Dong Gon Woo, Seung Hyuk Shin, Hoon Jo, Whoi-Yul Kim and Jinho Ahn 2017.06.14
195 Effect of IPL Treatment on the Electical Characteristics of Trasparent Metal/ZnO/ITO/PET resistor ENGE 2016 Taehoon Lee, Yong Chan Jung, Sejong Seong, Bo Li, Hyun-Jun Hwang, Hak-Sung Kim, In-Sung Park, Jinho Ahn 2016.11.08
194 Effects of Vacancy and Distortion in In3SbTe2 Phase-change Material by Bi Dopant ENGE 2016 Minho Choi, Heechae Choi, Yong Tae Kim, and Jinho Ahn 2016.11.08
193 Effects of Fully Depleted SOI on Ultra-fast Swing Slope of 1T-DRAM Memory ENGE 2016 Sehyun Kwon, Minho Choi, Yong Tae Kim, In-Sung Park, Jinho Ahn, Sorin Cristoloveanu 2016.11.08
192 Fe3O4-ZnO Core-Shell Nanoparticles Fabricated by Rotational Atomic Layer Deposition for Multifunctional Applications ENGE 2016 Sejong Seong, Yong Chan Jung, Taehoon Lee, Bo Li, In-Sung Park, Jinho Ahn 2016.11.07
191 Manufacturing Large-scale SiNx EUV lithography Pellicle using Waterbath Wet Etching Method ENGE 2016 Seonghoon Jeong, Jung Hwan Kim, Seong Chul Hong, Jinho Ahn 2016.11.07
190 Mechanical and optical performance evaluation of graphene composite EUV pellicle ENGE 2016 Yong Ju Jang, Jung Hwan Kim, Seongchul Hong, Jinho Ahn 2016.11.07
189 Improvement of Coherent Scattering microscopy: from HIO to PIE ENGE 2016 Dong Gon Woo, Seongchul Hong, Hoon Jo, Whoi-Yul Kim, Jinho Ahn 2016.11.07
188 Study of correlation of missing hole with side lobe intensity and photon shot noise effect in extreme ultraviolet lithography ENGE 2016 Jung Sik Kim, Seongchul Hong, Yong Ju Jang, Jinho Ahn 2016.11.07
187 Improvement of SRAF application margin and imaging performance of isolated patterns by using attenuated phase shift mask 2016 International Symposium on EUV Lithography Jung Sik Kim, Seongchul Hong, Yong Ju Jang, Jinho Ahn 2016.10.24
186 Improved margin of absorber sidewall angle using phase shifting extreme ultraviolet mask 2016 International Symposium on EUV Lithography Yong Ju Jang, Seongchul Hong, Jung Sik Kim, Jinho Ahn 2016.10.24
185 Atomic Layer Deposition for the Fabrication of Nano-materials with Core/shell Structure and their Multi-functional Properties The 3rd International Confernece on ALD Applications 2016 China Atomic Layer Deposition Sejong Seong, Yong Chan Jung, Taehoon Lee, Bo Li, In-Sung Park, Jinho Ahn 2016.10.19
184 Surface Smoothness Enhancement of Atomic Layer Deopsited HfO2 Film The 3rd International Confernece on ALD Applications 2016 China Atomic Layer Deposition Bo Li, Yong Chan Jung, Sejong Seong, Taehoon Lee, In-Sung Park, Jinho Ahn 2016.10.17
183 Characteristics of Floating Body Capacitorless 1T-DRAM Memory AWAD 2016 Japan Sehyun Kwon, Minho Choi, Jinho Ahn, In Sung Park, Yong Tae Kim 2016.07.04
182 Improvement of Coherent Scattering Microscopy by applying Ptychographical Iterative Engine 2016 EUVL WORKSHOP Dong Gon Woo, Seongchul Hong, Hoon Jo, Whoi-Yul Kim, and Jinho Ahn 2016.06.16
181 Improvement on In3SbTe2 phase-change material by Bi doping with vacancy and distortion MRS 2016 Spring Minho Choi, Heechae Choi, Seungchul Kim, Yong Tae Kim, Jinho Ahn 2016.03.30
180 Improvement on operation speed of In3SbTe2 phase change material by adding Bi element 2015 E-MRS (European Materials Research Society) Minho Choi, Yong Tae, Kim, Woon Jo Cho, Young-Hwan Kim, Seong Il Kim, Chun Keun Kim, Jinho Ahn 2015.05.13
179 Evaluation of optical properties of EUV resist underlayer SPIE 학회 Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, Jung Hwan Kim, Hyun Min Song, Jinho Ahn 2015.02.24
178 Evaluating performance of micron-scale Ag grids as a transparent electrode ENGE 2014 이길복, 안진호 2014.11.18
177 Suggestion of Composite EUVL pellicle Materials ENGE 2014 김정환, 홍성철, 이재욱, 이승민, 김정식, 송현민, 이덕연, 김성인, 안진호 2014.11.18
176 Fabrication and Analysis of Core/Shell Nano-composites using Atomic layer Deposition ENGE 2014 성세종, 정용찬, 이태훈, 박인성, 안진호 2014.11.17
175 Wide Voltage Operation for Resistive Switching in Metal/Hf02/Metal Resistors ENGE 2014 정용찬, 성세종, 이태훈, 박인성, 안진호 2014.11.17
174 The Effect of Bi-doping on the Phase Change Properties of In3SbTe2 ENGE 2014 최민호, 김용태, 안진호 2014.11.17
173 Improvement of stochastic imaging performance in contact hole pattern by using attenuated phase-shift mask for EUVL ENGE 2014 김정식, 홍성철, 이재욱, 이승민, 김정환, 송현민, 안진호 2014.11.17
172 The Suggestion of Half-Tone Phase-Shift mask for High-NA Extreme Ultraviolet Lithography MNC 2014 Seongchul Hong, Jae Uk Lee, Seung Min Lee, Jung Hwan Kim, Jungsik Kim, Hyun Min Song and Jinho Ahn 2014.11.04
171 Evaluation of metal absorber materials for beyond extreme ultraviolet lithography ICMAP Seongchul Hong, Jungsik Kim, , Jae Uk Lee, Seung Min Lee, Jung Hwan Kim, Hyun Min Song and Jinho Ahn 2014.07.09
170 The variation of the enhanced PL efficiency of Y2O3:Eu3+ phosphor films with the height to the ZrO2 nanoparticle PCLs by nano-imprint method ICMAP Culkyun Park, Hyojun Kim, Ki-Young Ko, Ki Kang Kim and Jinho Ahn 2014.07.08
169 2D TiO2 nanoparticle photonic crystal patterned Y3AI5O12:Ce3+ phosphor plate for white light-emitting diodes ICMAP Culkyun Park, Hyojun Kim, Ki-Young Ko, Ki Kang Kim and Jinho Ahn 2014.07.08
168 Improvement of multi-level phase changing properties of SbTe based chalcogenide material by substituting In for Ge AWAD 2014 Japan Yong Tae Kim, Chun Keun Kim, Minho Choi, Jin-Ho Ahn 2014.07.01
167 Improved Stochastic Imaging Properties in Contact Hole Pattern By Using Attenuated PSM for EUVL 2014 International Workshop on EUV Lithography Jungsik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, Jung Hwan Kim, Hyun Min Song and Jinho Ahn 2014.06.26
166 Double Side Patterned Y3AI5O12:Ce3+ Phosphor Plate for White Light-Emitting Diodes The 5th International Conference on White LEDs and Solid State Lingting Culkyun Park, Hyojun Kim, Ki-Young Ko and Jinho Ahn 2014.06.05
165 Improved switching speed of InSbTe multi-level phase change memory 2014 E-MRS (European Materials Research Society) Spring Yong Tae Kim, Minho Choi 2014.05.27
164 Imaging performance of attenuated phase-shift mask using coherent scattering microscope 2014 SPIE Advanced Lithography Jae Uk Lee, Seong Chul Hong, Seung Min Lee , Jung Sik Kim, Keun yong Jung, Joong hwee Cho and Jinho Ahn 2014.02.25
163 The role of Bi dopant in In3SbTe2 phase change material International Conference on Advanced Electromaterials (ICAE 2013) Minho Choi, Yongtae Kim, Jinho Ahn 2013.11.15
162 Optimization of mask structure for high-NA EUVL 2013 International Symposium on EUV Lithography Seoung Chul Hong, Jae Uk Lee, Seejun Jeong, Seung Min Lee, Jung Sik Kim and Jinho Ahn 2013.10.07
161 Time dependent precursor quality variation of liquid CpZr(DMA)3 and its ALD-ZrO2 film 13th International Conference on Atomic Layer Deposition Sejong Seong, Yong Chan Jeong, Myungwan Lee, In-Sung Park and Jinho Ahn 2013.07.28
160 Calculation of the Optical Constants Using X-ray Reflectometer for Verifying the Optical Design of the Attenuated Phase Shift Mask 2013 EUVL workshop on EUV Lithography Seung Min Lee, Jae Uk Lee, Seongchul Hong , Seejun Jeong , Jung Sik Kim and Jinho Ahn 2013.06.10
159 Imporved Photon Shot Noise Effect on LWR by using attenuated PSM for EUVL 2013 International Workshop on EUV Lithography Seejun Jeong, Seoung Chul Hong, Jae Uk Lee, Seung Min Lee, Jung Sik Kim and Jinho Ahn 2013.06.10
158 The Effects of Bi Doping on the Properties of In3SbTe2 for Multi-level Phase Change Memory Device 2013 MRS Spring Meeting Minho Choi, Yong Tae Kim, and Jinho Ahn 2013.04.05
157 Stochastic Resist Patterning Simulation using Attenuated PSM for EUV Lithography 2013 SPIE Advanced Lithography Seongchul Hong, Seejun Jeong, Jae Uk Lee, Seung Min Lee, Jongseok Kim, Jonggul Doh and Jinho Ahn 2013.02.27
156 Characterization of ZnO films deposited by sol-gel technique 2012 Collaborative Conference on Crystal Growth(3CG) Kilbock Lee, Jihno Ahn, In-sung, Park, Anna Reymers, M. Arzakantsyan, V. Gevorgyan 2012.12.12
155 Enhanced light extraction from red phosphor films via nano-imprint lithography using zirconia nanoparticles MNC 2012 Ki-Kang Kim, Eun-Jin Her, Ki-Young Ko, Tae Hee Won and Jinho Ahn 2012.11.01
154 Fabrication of 2D TiO2 nanoparticles PCLs by vacuum nano-imprint lithography and atomic layer deposition method International Conference on Electronic Materials and Nanotechnology for Green Environment (ENGE2012) 김기강,허은진 2012.09.19
153 Actinic inspection of EUV mask using Coherent Scattering Microscope ENGE 2012 Jae Uk Lee, Sangsul Lee, Jong Gul Doh, Seong Chul Hong, Seung Min Lee and Jinho Ahn 2012.09.19
152 Fabrication of 2D TiO2 nanoparticles PCLs by vacuum nano-imprint lithography and atomic layer deposition method ENGE 2012 Ki-Kang Kim, Ki-Young Ko and Jinho Ahn 2012.09.19
151 Microstructures of HfO2 Thin Films on Si and Ge Evaluated Using Small Angle Neutron Scattering International Union of Materials Research Societies -International Conference in Asia 2012 Tae-Gyu Shin, Baek-Seok Seong, Kyoung-min Ryu, YongChan Jung, Jinho Ahn, and In-Sung Park 2012.08.28
150 Enhanced light extraction from Y2O3: Eu3+ Phosphor Films via vacuum nano-imprint lithography using spin-on dielectric (SOD) materials The 4rd International Conference on Microelectronics and Plasma Technology (ICMAP 2012) Kilbock Lee, Kikang Kim 2012.07.05
149 Enhanced Light Extraction from Y2O3: Eu3+ Phosphor Films via Vacuum Nano-Imprint Lithography using Spin-on Dielectric (SOD) Materials ICMAP 2012 Kilbock Lee, Ki-Kang Kim, Ki-Young Ko, Jinho Ahn 2012.07.05
148 Atomic Layer Deposited Y2O3 Film with Novel Liquid Y-Precursor and its Application to Resistive Switching Device AVS 12th International Conference on Atomic Layer Deposition Yong Chan Jung, Kyoung-min Ryu, In-Sung Park, Jinho Ahn, Wontae No, Venkateswara R. Pallem, Christian Dussarrat 2012.06.19
147 Coherent EUV source based on high-order harmonic generation for actinic inspection tool 2012 International Workshop EUV Lithography 이재욱,이상설,도종걸,홍성철,이승민 2012.06.07
146 Coherent EUV Source Based on High-order Harmonic Generation for Actinic Inspection Tool 2012 International Workshop on EUV Lithography Jae-uk Lee, Sangsul Lee, Jonggul Doh, Seongchul Hong, Seungmin Lee, Seejun Jeong and Jinho Ahn 2012.06.07
145 Optical Design of Absorber Materials for Reduced H-V CD Bias in EUV Lithography 2012 International Workshop on EUV Lithography Seongchul Hong, Sangsul Lee, Jae Uk Lee, Inhwan Lee, Jonggul Doh, Seung Min Lee, Seejun Jeong, and Jinho Ahn 2012.06.06
144 Lithographic performance of EUV mask using coherent scattering microscopy The 56th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN 2012) 도종걸,이상설,이재욱,홍성철 2012.05.30
143 Thin Attenuated PSM for Extreme Ultraviolet Lithography International Conference on Electronic Materials and Nanotechnology for Green Environment(ENGE2011) 이재욱,홍성철,이상설 2011.10.28
142 The Effect on CD Performance for Carbon Contamination of EUV Mask using Coherent Scattering Microscopy / In-situ Contamination System 24th International Microprocesses and Nanotechnology Conference(MNC 2011) Jae Uk Lee, Sung Chul Hong, Sangsul Lee, Jung gul Do and Jinho Ahn 2011.10.26
141 Formation of SiO2 nanoparticle patterns on Y2O3: Eu3+ thin film phosphors using nanoimprint lithography The 9th International Nanotech Symphsium & Exhibition in Korea(NANO KOREA 2011) 허은진,이길복,최윤식 2011.08.26
140 FABRICATION OF 2D PHOTONIC CRYSTAL ON Y2O3: Eu3+ THIN FILM PHOSPHOR USING SPIN-ON GLASS MATERIAL 2011 International Forum on Functional Materials(IFFM 2011) 이길복,허은진,최윤식 2011.07.30
139 Insulator and Electrode Effects on Metal-Insulator-Metal Capacitor 11th International Conference on Atomic Layer Deposition Kyoung-min Ryu, In-Sung Park, Seung-jun Park, Jinho Ahn, Jaehack Jeong 2011.06.27
138 Thin Half-tone Phase Shift Mask Stack for Extreme Ultraviolet Lithography 2011 International Workshop On EUV Lithography Inhwan Lee, Sangsul Lee,Jae Uk Lee, Chang Young Jeong, Sunyoung Koo, Changmoon Lim,and Jinho Ahn 2011.06.16
137 Interface Trap Density in Pentacene Field Effect Transistor Depending on Various Channel Engineering 22nd IC ME&D Sangsul Lee, Seung-jun Park, In-Sung Park, Jinho Ahn 2011.05.19
136 Effect of Gas Annealing on Resistance Modification of Graphene 22nd IC ME&D Seung-jun Park, Sangsul Lee, In-Sung Park, D. Whang, Jinho Ahn 2011.05.19
135 Performance of Organic Field Effect Transistors with High-k Gate Oxide after Application of Consecutive Bias Stress 22nd IC ME&D Sangsul Lee, Seung-jun Park, In-Sung Park, D. Whang, Jinho Ahn 2011.05.19
134 Fabrication of Y2O3:Eu3+ gel 2D PC assisted thin-film phosphors using direct nano-imprinting with various working pressure MNC2010 Eunjin Her1, Kiyoung Ko1, Heon Lee2, Young Rag Do3 and Jinho Ahn1 2010. 11. 09
133 Nano-size patterning with Nanoimprint Lithography Using PVA transfer layer MNC2010 In-sung Park and Jinho Ahn 2010. 11. 09
132 Interface characteristics with in-situ water vapor treatment of Ge substrate and HfO2 dielectric growth with atomic layer decomposition MNC2010 Young-Jae Choi, In-Sung Park and Jinho Ahn 2010. 11. 09
131 Fabrication of the CNTs network structure using poly(dimethylsiloxane) mold stamp AsiaNANO 2010 Haegu Yeo, Jeongeun Seo, Ko-Young Ko, Taeyoung Noh, Jinho Ahn, Haiwon Lee 2010. 11. 01
130 Analysis of imaging performance on EUV mask using EUV CSM/ICS ENGE 2010 Chang Young Jeong, Sangsul Lee, Jong Gul Doh, Jae Uk Lee, Inhwan Lee, Dong Geun Lee2, Seong-Sue Kim2, Han-Ku Cho2, Seung-yu Rah3 and Jinho Ahn1 2010. 11 .21
129 The study on influence of carbon contamination on imaging performance of EUV mask using CSM/ICS 2010 International symposium on Extreme Ultraviolet Lithography Sangsul Lee, Chang Young Jeong, Jong Gul Doh, Jae Uk Lee, Inhwan Lee, Dong Geun Lee, Seong-Sue Kim, Han-Ku Cho, Seung-yu Rah, and Jinho Ahn 2010. 10. 17
128 The suggestion of novel attenuated phase shift mask structure in Extreme Ultraviolet Lithography 2010 International symposium on Extreme Ultraviolet Lithography Chang Young Jeong, Sangsul Lee, Jong-Gul Doh, Jae Uk LEE, Inhwan Lee, Seung-yu Rah and Jinho Ahn 2010. 10. 17
127 Analysis of compound on EUV mask surface and chamber circumstance using EUV CSM/ICS and RGA 2010 International symposium on Extreme Ultraviolet Lithography Jonggul Doh,Chang Young Jeong,Sangsul Lee,Jaewook Lee, Inhwan Lee, Dong Geun Lee,Seong-Sue Kim,Han-Ku Cho, Seung-yu Rah,Jinho Ahn 2010. 10. 17
126 Optical performance of indium tin oxide absorbing mask in extreme ultraviolet lithography IUMRS - ICEM 2010 Hee Young Kang, Sungjin Park, Jang Hoon Lee, Chang Kwon Hwangbo, Hwan-Seok Seo, Seong0Su Kim, Han-Ku Cho, and Jinho Ahn 2010. 08. 22
125 Impact of Ozone Concentration on Atomic Layer Deposited Hafnium Oxide on GaAs IUMRS - ICEM 2010 Keum Jee Chung,Tae Joo Park, Prasanna Sivasubramani, Jiyoung Kim, Jinho Ahn 2010. 08. 22
124 Fabrication and Opical Properties of 2D Photonic Crystal Assisted Y2O3:Eu³+ Thin-Film Phosphors by Nano-Imprint Lithography IUMRS - ICEM 2010 Eun-Jin Her¹, Ki-Young Ko¹, Ki-Yeon Yang², Heon Lee², Jinho Ahn 2010. 08. 22
123 The Study for CD Performance and Carbon Contamination of EUV Mask using CSM(Coherent Scattering Microscopy) IUMRS - ICEM 2010 Jonggul Doh ,Chang Young Jeong, Sangsul Lee, Jaewook Lee, Dong Geun Lee, Seong-Sue Kim, Han-Ku Cho, Seung-yu Rah, Jinho Ahn 2010. 08. 22
122 Fabrication of 2D Photonic Crystal Assisted Y2O3:Eu³+ Thin-Film Phosphors by Direct Nano-Imprint Process NANO KOREA 2010 with IEEE NANO 2010 Eun-Jin Her, Ki-Young Ko, Ki-Yeon Yang, Heon Lee, Young Rag Do and Jinho Ahn 2010. 08. 17
121 Carbon contamination analysis and its effect on EUV mask imaging performance using CSM/ICS NANO KOREA 2010 with IEEE NANO 2010 Chang Young Jeong, Sangsul Lee, Jong-Gul Doh, Jae Uk LEE Dong Geun Lee, Seong-Sue Kim, Han-Ku Cho, Seung-yu Rah and Jinho Ahn 2010. 08. 17
120 Bias Stress Effect On Organic Field Effect Transistors With High-K Gate Oxide IMRC Sunwoo Lee, Junghyuck Park, Jinho Ahn 2010. 08. 15
119 Anti-Reflection coating of thermochromic VO2-Based multilayer thin film for enhanced visible light transmittance 2010 International Symposium on Crystal Growth Seung Jun Park, Hwe kyung Kimb, Jin Ho ahn ,and Woo Seok Yang 2010. 08. 08
118 Analysis of carbon contamination on EUV mask using CSM / ICS 2010 International Workshop On EUV Lithography Jae Uk Lee, Chang Young Jeong, Sangsul Lee, Jong Gul Doh, Dong Geun Lee, Seong-Sue Kim, Han-Ku Cho, Seung-yu Rah and Jinho Ahn 2010. 06. 15
117 Effect of ozone concentration on atomic layer deposited high-k dielectric on Si and GaAs 217th ESC Meeting K. J. Chung1,2, T. J. Park1, P. Sivasubramani1. J. Kim and J. Ahn 2010. 04. 25
116 Fabrication of Nanosphere Patterns by Using Micro-contract Transfer Printing EIPBN Young-Jae Choi,Ki-Young Ko, Sang-Su Park, Min-Hyik Bang, Jinho Ahn 2010. 04. 01
115 The analysis of carbon Contamination of EUV mask using CSM SPIE Adanced Lithography 2010 Chang Young Jeong, Sangsul Lee, Hyun-Duck Shin, Jong Gul Doh, Dong-Gun Lee, Seoung Sue Kim, Han-Ku Cho, Seung-yu Rah and Jinho Ahn 2010. 02. 27
114 Refilled Phase Shift Mask for Minimizing Shadowing Effect MNC2009(2009 International Microprocesses and Nanotechnology Confeerence) H.-D. Shin, C.Y.Jeong, S. Lee, T.G.Kim and J. Ahn 2009. 11. 18
113 Controlability of Operating Parameters in Pt/HfO2/ZrO2/Pt Resistance Switching Device 2009 International Conference on Nanoscience and Nanotechnology Ju-Bin Seo, In-Sung Park, Young-Jae Choi and Jinho Ahn 2009. 11. 06
112 Nano-Size Patterning on Various Substrates with Nanoimprint Lithograp 2009 International Conference on Nanoscience and Nanotechnology In-Sung Park, Ki-young Ko, and Jinho Ahn 2009. 11. 05
111 Development of Mask Contamination/Inspection System by Coherent EUV Light 2009 international Symposium Extreme ultraviolet lithograph On EUV Lithography S. Lee, C. Jeong, S. Rah, D. Lee, S. Kim, H. Cho, J. Ahn 2009. 10. 20
110 Improvement of Imaging Properties by Optimizing the Mask Structure Using Phase Shift Effect 2009 international Symposium Extreme ultraviolet lithograph On EUV Lithography C. Jeong, S. Lee, H. Shin, T. Kim, j. Ahn 2009. 10. 19
109 Altermative oxdant effects on chemical structure and electrical properties of atomic-layer-deposited La2O3 film on Si using tris(N,N'-diisopropylformamidinato) lanthanum[La(PrfAMD)3] ALD 2009(9th international conference on atomic layer deposition) Tae Joo Park, Keum Jee Chung, Jinho Ahn, Hyun-chul Kim, Robert. M. Wallace, and Jiyoung Kim, Xinye Liu, Jae Hyung Yi, Mike Rousseau, D. Shenai, and J. Suydam 2009. 07. 19
108 Precursor dependent rutile phase formation of atomic-layer deposited TiO2 film on Ru electrode for DRAM capacitor applications ALD 2009(9th international conference on atomic layer deposition) Tae Joo Park, Keum Jee Chung, Jinho Ahn, Hyun-chul Kim, Robert. M. Wallace, and Jiyoung Kim, Xinye Liu, Jae Hyung Yi, Mike Rousseau, D. Shenai, and J. Suydam, 2009. 07. 19
107 Optimizing Structure of Attenuated Phase Shift Mask for Minimizing Shadowing Effect 2009 International Workshop On EUV Lithography Hyun-Duck Shin1, Chang Young Jeon, Sangsul Lee, Tae Geun Kim, and Jinho Ahn 2009. 07. 15
106 Development of Mask Contamination/Inspection System for EUV Lithography 2009 International Workshop On EUV Lithography Sangsul Lee, Chang Young Jeong1, Dong Geun Lee, Seong-Sue Kim, Han-Ku Cho3, Seung-yu Rah, Ohyun Kim, Moonsuk Yi and Jinho Ahn 2009. 07. 15
105 Characteristics of organic field-effect-transistor with high dielectric constant layer AWAD2009 S. Lee, Y. J. Choi, J. Park, K. Y. Ko, I.-S. Park and J. Ahn 2009. 06. 24
104 Effect Of Electrical Characteristics By Surface Modification In Pentacene Field Effect Transistor With Thin Al2O3 Gate Oxide Layer EIPBN Sunwoo Lee, Youngjae Choi, Ki-Young Ko, Chang Young Jeong, In-Sung Park and Jinho Ahn 2009. 05. 27
103 The Imporvemet of Imaging Properties By Optimizing The Capping Structure In Extreme Ultraviolet Lithography EIPBN Chang Young Jeong, Sangul Lee, Hyun-duck Shin, Tae Geun Kim, Sunwoo Lee, In-Sung Park and Jinho Ahn 2009. 05. 27
102 Electrical Characteristics by various gate oside engineering in organic field errect transistor with high dielectric constant layer ARN Symposium2009 & 2nd TEXAS-KOREA NANOTECH Workshop Sunwoo Lee, Ju Bin Seo, Jung Hyuck Park, In-Sung Park, Jinho Ahn 2009. 05. 18
101 Overview of Infrastructures for Extreme Ultra Violet Lithography ARN Symposium & Workshop Sansul lee, Chang Young Jeong, Hyunduck Shin, Tae Geun Kim, Seungyu Rah and Jinho Ahn 2009. 05. 18
100 Oxidant Effect during HfO2 Deposition on the Electrical Characteristics of Metal-Insulator-Ge Capacitors ARN Symposium & Workshop Youngjae Choi, Sunwoo Lee, Ki-Young Ko, In-Sung Park and Jinho Ahn 2009. 05. 18
99 Oxidant Effect during HfO2 Deposition on the Electrical and Chemical Characteristics of Metal-Insulator-Ge Capacitors Materials Research Society(MRS) Youngjae Choi, Sunwoo Lee, In-Sung Park, Ki-Young Ko and Jinho Ahn 2009. 04. 15
98 Metal-Insulator-Metal Capacitors Using Atomic Layer Deposited Al2O3 and HfO2 Dielectrics PRiME Meeting on Electrochemical and Solid-State Science In-Sung Park, Seungki Yoon, Jinho Ahn, Kiman Kim, and Jaeho Choi 2008. 10
97 Design of Attenuated PSM Structure for Extreme Ultraviolet Lithography 2008 International Microprocesses and Nanotechnology Conference Hyun-Duck Shin, Chang Young Jeong,, Sangsul Lee, Tae Geun Kim, Byung Hun Kim, Eun Jin Kim, Hye-Keun Oh, In-Sung Park, Seungyu Rah, and Jinho Ahn 2008. 10
96 Characteristics of pentacene organic field effect transistors with OTS self-assembled monolayer treated HfO2 gate oxide 2008 International Microprocesses and Nanotechnology Conference Sunwoo Lee, Sangsul Lee, Jeong Ho Park, In-Sung Park, and Jinho Ahn 2008. 10
95 The Dependence of MEEF on the Mask Shadowing effect 2008 International Symposium on Extreme Ultraviolet Lithography C.Jeong,S. Lee,H.Shin,T.Kim,E.Kim,H.Oh, I.Park, J.Ahn 2008. 09
94 Electrical Characteristics of Orgnic Field Effect Transistor by Forming Gas Treatment of High-k Al2O3 at Low Temperature ,SOLIDE DEVICES AND MATERIALS Sunwoo Lee, Seungki Yoon,In-sung Park and Jinho Ahn 2008. 09
93 Electrode dependent electrical properties of capacitor with Siand Ge substrate The 7th Korea-Japan Conference on Ferroelectricity Youngjae Choi, Keum Jee Chung, Chang Kyoung Kim, Jinho Ahn, In-Sung Park, Jack C. Lee, and Taeho Lee 2008. 08
92 A Study of Attenuated PSM Structure for EUVL to Minimize Mask Shadowing Effect international workshop on EUV lithography Sangsul Lee, Chang Young Jeong, Tae Geun Kim, Hyun-Duck Shin, Eun Jin Kim, Hye-Keun Oh, Jinho Ahn 2008. 06
91 Out-gassing study of resist for EUV lithography Special Symposium on Emerging Science & Technology Sangsul Lee, Chang Young Jeong, Tae Geun Kim, Hyun-Duck Shin, In-Sung Park, Seungyu Rah and Jinho Ahn 2008. 06
90 A Novel Attenuated PSM Structure for Extreme Ultra Violet Lithography Special Symposium on Emerging Science & Technology Chang Young Jeong, Sang sul lee, Tae geun Kim, Hyun-Duck Shin, In-Sung Park, Seungyu Rah and Jin ho Ahn 2008. 06
89 Characteristics of pentacene field effect transistor with high-k gate dielectric layer Special Symposium on Emerging Science & Technology Sunwoo Lee, Seungki Yoon, Keum-Jee Chung, In-sung Park, and Jinho Ahn 2008. 06
88 Switching method effects for resistance switching characteristics of atomic layer deposited HfO2 thin film Special Symposium on Emerging Science & Technology Seungki Yoon, In-sung Park, Sungho Kim, Yang-kyu Choi, and Jinho Ahn 2008. 06
87 Dependence of electrical and time stress in organic field effect transistor with low temperature forming gas treated Al2O3 gate dielectrics 19th International Conference on Molecular Electronics and Devices S. Lee, K. J. Chung, I. Park and J. Ahn 2008. 06
86 The study of attenuated-PSM structure for extreme ultraviolet lithography with minmized mask shadowing effect SPIE Adanced Lithography Chang Young Jeong, Byung Hun Kim, Tae Geun Kim, Sangsul Lee, Eun Jin Kim, Hye-Keun H. Oh, In-Sung Park, Jinho Ahn 2008. 02
85 Surface properties of EUVL mask layers after high energy laser shock cleaning 2007 International Extreme Ultraviolet Lithography(EUVL) Symposium Tae-Gon Kim, Young-Sam Yoo, Il-Ryoung Son, Tae-Geun Kim, Jinho Ahn, Jong-Myoung Lee, Jae-Sung Choi, Ahmed A. Busnaina, Jin-Goo Park 2007. 10
84 Outgassing study of resist for extreme ultraviolet lithography at PAL 2007 International Extreme Ultraviolet Lithography (EUVL) Symposium SangSul Lee, Chung Yong Kim, Tae Geun Kim, Chang Young Jeong, Seungyu Rah, Jun Lim, Jinho Ahn 2007. 10
83 Optical and physical characteristics of EUV phase shift masks 2007 International Extreme Ultraviolet Lithography(EUVL) Symposium Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, Sangsul Lee, Eun Jin Kim, Hye-Keun Oh, In-Sung Park, Doo Young Kim, Nae-Eung Lee, Jinho Ahn 2007. 10
82 Oxidant effect on resistance switching characteristics of HfO2 film grown atomic layer deposition 212th Meeting of The Electrochemical Society Jooho Lee, In-Sung Park, Keum Jee Jung, Sunwoo Lee, Jungho Park, and Jinho Ahn 2007. 10
81 Resistance switching of HfO2 film and its application to non-volatile memory 2007 Solid State Devices and Materials Jooho Lee, In-Sung Park, Jungho Park, Keum Jee Jung, Sunwoo Lee and Jinho Ahn 2007. 09
80 HfO2/PVP gate dielectirc stack structure for pentacene FETs 2007 MRS Spring Meeting Sang Seol Lee, In Sung Park, Young Gug Seol, Nae Eung Lee and Jinho Ahn 2007. 04
79 Resistance Switching Characteristics of HfO2 Film for Resistance Change Random Access Memory International Conference on Nanoscience and Nanotechnology In-Sung Park, Jooho Lee, and Jinho Ahn 2006. 12
78 Resistive switching characteristics of Binary Metal Oxides Solid State Devices Materials In-Sung Park, Kyong-Rae Kim, Jinho Ahn 2006. 09
77 Theoretical Investigation of Pattern Printability of Oxidized Si and Ru Capping Model for Extreme Ultraviolet Lithography(EUVL) Proceedings of the 32nd International Conference on Micro- and Nano-Engineering In-Yong Kang, Jinho Ahn, Chung Yong Kim, Hye-Kuen Oh, and Yong-Chae Chung 2006. 09
76 Nanoscale patterning using photo-assisted polymer transfer lithography Micro-and Nano-Engineering(MNE) 2006 In-Sung Park, Moonik Jang, and Jinho Ahn 2006. 09
75 Application of oxidation resistive Ru capping layer for EUVL mask IUMRS - ICA - 2006 Chung Yong Kim, Tae Guen Kim, Byung Hun Kim, Seung Yoon Lee, Jinho Ahn 2006. 09
74 Degradation of Trichlorosilane based SAM antistiction layer IUMRS - ICA - 2006 Kyeongjae Byeon, Seunghyun Ra, Jinho Ahn, Heon Lee 2006. 09
73 Substrate dependent Formation of Self-assembled Monolayer IUMRS - ICA - 2006 BangLim Choi, Joo-Ho Lee, In-Sung Park, Jinho Ahn 2006. 09
72 Organic Thin Film Transistors with a HfO2/PVP Laminate Gate Dielectric Layer IUMRS - ICA - 2006 Sang Seol Lee, Sunwoo Lee, YoungGug Seol, InSung Park, NaeEung Lee, Jinho Ahn 2006. 09
71 Embossing lithography on sticky thermoset polymer using Ni template IUMRS - ICA - 2006 Kyeongjae Byeon, Sunghoon Hong, Kiyeon Yang, Seunghyun Ra, Jinho Ahn, Heon Lee 2006. 09
70 Resistive switching characteristics of HfO2 film grown by atomic layer deposition technique AVS 6th International Conference on Atomic Layer Deposition Kyong-Rae Kim, In-Sung Park, Sang-Seol Lee, BangLim Choi, Sunwoo Lee, Jinho Ahn 2006. 07
69 Development of the EUVL test bed in PAL SRI2006 S. Y. Lee, T. G. Kim, C. Y. Kim, B.-H. Kim, J. Ahn, S. Rah O. Kim M. Yi 2006. 05
68 Novel absorber stack for minimizing shadow effect in EUV mask fabrication The 50th international conference on electron, ion and Photon beam technology and nanofabrication(EIPBN) Tae Geun Kim, Seung Yoon Lee, Chung Yong Kim, Byung Hun Kim, In-Yong Kang, Nae-Eung Lee, Chung Yong Kim, Jinho Ahn 2006. 05
67 Theoretical Prediction of Optical Performance of Ru and Si Capped Reflectors for Extreme Ulrtaviolet Lithography(EUVL) The 50th international conference on electron, ion and Photon beam technology and nanofabrication(EIPBN) In-Yong Kang, Yong-Chae Chung, Chung Yong Kim, Jinho Ahn, Hye-Keun Oh, Takeo Watanabe, Hiroo Kinoshita 2006. 04
66 Application of HfO2-based inorganic Dielectric material for organic field effect transistor 2006 MRS Sunwoo Lee, Sang Seol Lee, Taeho Lee, In-Sung Park, and Jinho Ahn 2006. 04
65 Numerical Modeling of Absorber Characteristics for EUVL SPIE(the international society for optical engineering) In-Yong Kang, Jinho Ahn, Hye-Keun Oh, Yong-Chae Chung 2006. 02
64 Combined absorber stack for optimization of the EUVL mask SPIE(the international society for optical engineering) T. Kim, S. Y. Lee, W. S. Kim, C. Kim, I .Kang, Y. Chung, J. Ahn 2006. 02
63 Optimization of Absorber stack of EUVL mask in optical and etch properties 4th International Extreme Ultraviolet Lithography Symposium SeungYoon Lee, WooSam Kim, TaeGeun Kim, In-Yong Kang, Yong-Chae Chung, and Jinho Ahn 2005. 11
62 Microstructure effect on forming and resistance switching of TiO2 fabricated by multi-step deposition 2005 Korea-China Workshop on Advanced Materials Jinho Ahn, In-Sung Park, Taeho Lee 2005. 10
61 Oxidant Effect on Characteristics of Thin HfO2 Film Grown by Atomic Layer Deposition 2005 The Electrochemical Society 208th ECS meeting I.-S. Park, H. Ko, T. Lee, K. Kim, and J. Ahn 2005. 10
60 Characterization of ALD-HfO2 using H2O and D2O as an oxidant Digest of The International Microprocesses and Nanotechnology Conference 2005 Jinho Ahn, Taeho Lee, In-Sung Park, Han-Kyoung Ko 2005. 10
59 Effect of oxidant on properties of ultra thin HfO2 films grown by atomic layer deposition AVS 5th International Conference on Atomic Layer Deposition Hankyoung Ko, Taeho Lee, Sangsul Lee, In-Sung Park, KyongRae Kim, and Jinho Ahn 2005. 08
58 Novel hybrid mask mold for combined nanoimprint and photolithography technique Micro and Nano Coference (MNE) 2005 K. Moon, B. Choi, I. Park, S. Hong, K., H. Lee, and J. Ahn 2005. 08
57 Characterization of Ru layer for capping/buffer application Micro and Nano Coference (MNE) 2005 Tae Geun Kim, Seung Yoon Lee, Chung Yong Kim, In-Sung Park, In-Yong Kang, Nae-Eung Lee, Yong-Chae Chung, and Jinho Ahn 2005. 08
56 Silicide formation by e-beam local heating for phase defect correction of EUV reflective multilayer 49th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN) Seungyoon Lee, Taegeun Kim, Woosam Kim and Jinho Ahn 2005. 05
55 Reliability characteristics of HfO2 Gate Dielectrics Prepared by Atomic Layer Deposition Using HfCl4 and TEMAH 2005 MRS Spring Meeting, San francisco Taeho Lee, Hankyong Ko, In-Sung Park, Jinho Ahn and Jaehak Jung 2005. 03
54 Characteristics of Mo/Ru/Si Multilayer Reflector Structure 3rd International EUVL Symposium T. G. Kim, W.S.Kim, I.-Y. Kang, Y.-C. Chung, S-Y Lee and J. Ahn 2004. 11
53 Characteristics of Mo/Ru/Si Multilayer Reflector Structure Microprocesses and Nanotechnology 2004 T. G. Kim, W. S. Kim, I.-Y.Kang, Y.-C.Chung and J.Ahn 2004. 10
52 Numerical Investigation of Defect Printability in Extreme Ultraviolet (EUV) Reflector:Ru/Mo/Si Multilayer system Microprocesses and Nanotechnology 2004 I.-Y.Kang, J.Ahn, H.-K. Oh and Y.-C.Chung 2004. 10
51 Control of dispersion characteristics of TiO2 nano-powders for electronic paper Proceedings of the International Conference on the Characterization and Control of Interfaces for High Quality Advanced Materials (ICCCI) S. H. Kwon, J. Ahn, Y. I. Cho, W. S. Hong and S. J. Kim 2004. 09
50 Characterization of Low Temperature Radical Oxidation to Tunnel Oxide in Flash Memory 일본 응용물리학회 학술강연회 C. S. Kim, J. H. Heo, Y. J. Noh, B. Y. Koo, Y. P. Kim, G. H. Buh, J. H. Ahn, Y. G. Shin, U-In Chung, and J. T. Moon 2004. 09
49 Improvement of EUV Multilayer Reflector Structure NANO KOREA 2004 T. G. Kim, W. S. Kim, I. Y. Kang, Y. C. Chung and J. Ahn 2004. 08
48 Properties of ultra-thin hafnium oxide and interfacial layer deposited by atomic layer deposition The 8th China-Korea Workshop on Advanced Materials Ahn Jinho 2004. 08
47 Key technology development for EUVL mask fabrication 2004 Asia-pacific workshop on fundamentals and application of advanced semiconductor devices J. Ahn, T. Kim and S. Lee 2004. 07
46 Investigation on phase defects correction by the localized e-beam heating The 7th International Conference on the Physics of X-Ray Multilayer Structure Seung Yoon Lee, Tae Geun Kim, Takeo Watanabe, Hamamoto, Hiroo Kinoshita, Jinho Ahn 2004. 03
45 Structural characterization of Mo/Ru/Si EUV reflector by optical modeling Digest of The International Microprocesses and Nanotechnology Conference In-Yong Kang, Tae Geun Kim, Seung Yoon Lee, Jinho Ahn1 and Yong-Chae Chung 2003. 10
44 Verification fo phase defect correctability of EUV reflective multilayer Digest of The International Microprocesses and Nanotechnology Conference S. Lee, T. Kim, J. An, B. Han, J. Park, J. Kim, C. Lee and J. Ahn 2003. 10
43 Evaluation of property change by the insertion of Ru layer into Mo/Si multilayer 2nd International Extreme Ultraviolet Symposium J. Ahn, Y. CHung, S. Lee, and T. Kim 2003. 09
42 Enhancement of EUV reflective multilayer properties by the insertion of Ru barrier layer Digest of The International Microprocesses and Nanotechnology Conference S. Lee, T. Kim, H. Kim, J. Ahn 2002. 11
41 A study on the simulation and characterization of the barrier layers for EUV reflective multilayers for EUV lithography applications The 3rd Japan-Korea Joint Workshop on Advanced Semiconductor Processes and Equipments, Hakone S. Lee, H. Kim, I. Kang, Y. Chung, J. Ahn 2002. 10
40 Characterization of atomic layer deposited HfO2/SiON stack gate dielectrics AVS Topical Conference on Atomic Layer Deposition Y. Kim, T. Lee, M. Kang, J. Ahn, D. Choi, K. Hyun 2002. 08
39 Properties of HfO2 gate dielectrics prepared by atomic layer deposition using HfCl4/H2O precursors AVS Topical Conference on Atomic Layer Deposition T. Lee, Y. Kim, J. Oh, Y. Kim, D. Choi, K. Hyun, J. Ahn 2002. 08
38 Characterization and electrical properties of ultra thin HfO2 gate dielectrics prepared by atomic layer deposition. Materials Research Society 2002 Spring Meeting T. Lee, J. Oh, Y. Kim, D. Choi, J. Jung, J. Ahn 2002. 04
37 Characterization of ultra thin HfO2 gate dielectrics prepared by atomic layer deposition The 3rd International Symposium on Electronic Materials and Packaging T. Lee, J. Oh, J. Ahn, Y. Kim, D. Choi, J. Jung 2001. 11
36 Analysis of multilayer structure for reflection of extreme ultra-violet wavelength Digest of The International Microprocesses and Nanotechnology Conference / S. Lee, S. Hur, H. Kim, D. Lee, Y. Lee, I Kang, Y. Chung, M. Yi, C. Bok, and J. Ahn 2001
35 Comparison of Pt etching characteristics with SF6 and Cl2 plasma chemistries Digest of The International Microprocesses and Nanotechnology Conference / S. Kim, S. Ju and J. Ahn 2001
34 Study on surface roughness of Pt electrode Etching with Cl2/Ar and SF6/Ar plasma chemistries EIPBN / Sang Hoon Kim, Sup-Youl Ju and Jinho Ahn 2001
33 Characterization of Ultra HfO2 Gate Dielectric Prepared by Atomic Layer Deposition The 3rd International Symposium on Electronic Materials and Packaging / Taeho LEE, Jaemin OH, jinho AHN, Youngbae KIM, Duckkyun CHOI, Jaehak JUNG 2001
32 Actinometry study for etching characteristics of Ta film using chlorine plasma Proceedings of XEL Symposium 2000
31 Deposition and Characterization of Ta, TaNx, and Ta4B Films for NGL Mask Application Digest of The International Microprocesses and Nanotechnology Conference / S. Lee and J. Ahn 2000
30 Effects of SF6 addition to O2 plasma on Polyimide etching in ECR plasma etcher Digest of The International Microprocesses and Nanotechnology Conference / Sang Hoon Kim, Hosung Moon and Jinho Ahn 2000
29 Etching Characteristics of Fine Ta Patterns with Electron Cyclotron Resonance Chlorine Plasma Digest of The International Microprocesses and Nanotechnology Conference / Sang Hoon Kim, Sang-gyun Woo, Jinho Ahn 2000
28 A Study on the Properties of Interlayer Low Dielectric Polyimide during Cl-based Plasma Etching of Aluminum Digest of The International Microprocesses and Nanotechnology Conference / Sang Hoon Kim, Ho sung Moon, Sang gyun Woo, Jinho Ahn 1999
27 A study on the W-Ti absorber property with various Ti composition for x-ray lithography mask Digest of 1998 ISPSA / J. Ko, J. Ahn 1998
26 Deposition of low stress, high transmittance SiC as an X-ray mask membrane using ECR plasma CVD Abstract of 1998 MRS Spring Meeting / S. Lee, K. Sonh, J. Ahn 1998
25 A research on the anisotropic etching of tungsten-nitride for x-ray mask Digest of The International Microprocesses and Nanotechnology Conference / H. Lee, C. Jeong, S. Lee, J. Ahn, K. Song, C. Park, Y. Jeon, D. Lee 1998
24 High-transmittance SiC membrane prepared by ECR plasma CVD in combination with Rapid Thermal Annealing Digest of The International Microprocesses and Nanotechnology Conference / K. Song, D. Lee, Y. Jeon, C. Park, H. Noh, S. Yoon, T. Lee, J. Kang, and J. Ahn 1998
23 Stress and microstructure of WNx bilayer films for x-ray masks Digest of The International Microprocesses and Nanotechnology Conference / D. Lee, C. Park, K. Song, Y. Jeon, T. Lee, C. Jeong and J. Ahn 1997
22 Low stress WNx bilayer absorber films for x-ray masks Digest of XEL / D. Lee, C. Park, K. Song, Y. Jeon, T. Lee, C. Jeong and J. Ahn 1997
21 Current status of mask development for x-ray lithography at PALC Digest of C-MRS & MRS-K Joint Symposium / J. Ahn 1996
20 ICP etching of tungsten for x-ray masks Digest of 2nd Korea-Japan Symposium on Plasma and Thin Film Technology / C. Jeong, K. Song, C. Park, Y. Jeon, D. Lee, and J. Ahn 1996
19 N- and P-MOSFETs with CVD and thermal gate oxides: Comparison of performance and reliability Proceedings of the Third IUMRS-ICA- / J. Ahn and D. L. Kwong 1995
18 Radiation-hard SiNx film by UHV ECR Plasma CVD for x-ray lithography mask membrane applications Digest of PRICM-2 / J. Ahn, K. Suzuki, S. Tsuboi, and Y. Yamashita 1995
17 Consideration of chemical bond configurations for radiation-hard UHV ECR-CVD SiNx x-ray mask membrane Digest of Photomask Japan / J. Ahn, K. Suzuki, S. Tsuboi, and Y. Yamashita 1995
16 UHV ECR-CVD SiNx films for x-ray lithography mask membrane: As-deposited properties and radiation stability Digest of The 7th International MicroProcess Conference / Jinho Ahn, Katsumi Suzuki, Shinji Tsuboi, and Yoshiyo Yamashita 1994
15 Highly reliable oxynitride gate dielectrics for dual gate CMOS application Extended Abstracts of 1993 Solid State Device and Materials / A. B. Joshi, J. Ahn, G. W. Yoon, J. Kim, M. Bhat, and D. L. Kwong 1993
14 Correlation between chemical structure and electrical properties of NH3-nitrided N2O oxides Extended Abstracts of 1993 Solid State Device and Materials / M. Bhat, G. W. Yoon, A. B. Joshi, J. Kim, J. Ahn, D. L. Kwong, M. Arendt, and J. M. White 1993
13 Hot carrier related phenomenon in MOSFETs with furnace N2O-nitrided SiO2 gate oxides Extended Abstracts of 1993 Solid State Device and Materials / J. Ahn, G. Q. Lo, and D. L. Kwong 1993
12 Properties of SiNx films for x-ray lithography mask membrane applications prepared by using a UHV ECR-CVD systems Extended Abstract of 40th Spring Meeting of The Japan Society of Applied Physics and Related Societies / J. Ahn, and K. Suzuki 1993
11 Electrical Properties of MOSFETs with N2O-Nitrided LPCVD SiO2 Gate Dielectrics Extended Abstracts of 1992 Solid State Device and Materials / J. Ahn, G. Q. Lo, and D. L. Kwong 1992
10 Angle Resolved X-ray Photoelectron Spectroscopy Study of Ultrathin N2O Oxides Extended Abstracts of 1992 Solid State Device and Materials / J. Ahn, M. Arendt, J. M. White, and D. L. Kwong 1992
9 Chemically-modified ultrathin oxides fabricated by rapid thermal processing Proceedings of SPIE 1991 Rapid Thermal and Integrated Processing / A. B. Joshi, G. Q. Lo, J. Ahn, W. Ting, and D. L. Kwong 1991
8 Thickness dependence of charge-trapping and interface state generation in ultrathin therm al oxides Extended Abstract of Electrochemical Society Meeting / G. Q. Lo, J. H. Ahn, W. Ting, T. Chu, and D. L. Kwong 1991
7 Improved performance and reliability of MOSFETs with ultrathin gate oxides prepared by conventional furnace oxidation of Si in pure N2O ambient Symposium on VLSI Technology / G. Q. Lo, W. Ting, J. Ahn, and D. L. Kwong 1991
6 Electrical characteristics of ultrathin stacked nitride/oxide gate dielectrics prepared by rapid thermal processing Proceedings of Materials Research Society Meeting / W. Ting, J. Ahn, and D. L. Kwong 1991
5 Comparison of dielectric wear-out between oxides grown in O2 and N2O 29th International Reliability Physics Symposium Extended Abstract / Wenchi Ting, G. Q. Lo, Jinho Ahn, Thomas Y. Chu, and Dim-Lee Kwong 1991
4 Electrical properties of ultrathin MOS gate dielectrics fabricated by furnace oxidation of Si in N2O 33rd Electronic Materials Conference / J. Ahn, W. Ting, T. Chu, and D. L. Kwong 1991
3 Improved device performance and reliability of n-channel and p-channel MOSFETs with ultrathin gate oxides prepared by conventional furnace oxidation of Si in pure N2O ambient IEEE 49th Device Research Conference Digest / G. Q. Lo, W. Ting, J. Ahn, and D. L. Kwong 1991
2 Comparisonq of performance and reliability between MOSFETs with LPCVD gate oxide and thermal gate oxide IEEE 49th Device Research Conference Digest / J. Ahn, W. Ting, and D. L. Kwong 1991
1 Metal silicide EUV pellicle and the Effect of Wrinkles on Mask-3D Effects